From 03882bec1ad95c5d6d48e167f2ed51fda1fbd2a1 Mon Sep 17 00:00:00 2001 From: email Date: Thu, 7 Nov 2024 15:08:09 +0100 Subject: [PATCH] init --- doc/main.pdf | Bin 0 -> 89534 bytes doc/main.tex | 142 +++++++++++++++++++++++++++++++++++++++++++++++++++ sum.pcf | 10 ++++ sum.v | 19 +++++++ 4 files changed, 171 insertions(+) create mode 100644 doc/main.pdf create mode 100644 doc/main.tex create mode 100644 sum.pcf create mode 100644 sum.v diff --git a/doc/main.pdf b/doc/main.pdf new file mode 100644 index 0000000000000000000000000000000000000000..1a65ab22edf0cd5e12e7b6476b4109df7ab13471 GIT binary patch literal 89534 zcma&M1FWb)lP$V!+qP}nwr$(Cb+&EWwr$(?+1~d|=1%gG|0Zv~q>}1%CtuY{)~fF6 zCRGp-qh+LHg(96_9$ANCC14=1H?o4_;en!;F|{*yu^?b#U?TYM4~kyQ(#FNqiGW_r z#?Zx7#MIc{#1x8;56aoa$<)vm%41VBuEB1I0Y=2#A5`2Dfm*51Bvy72CMT3mG(6u8 z2+$5@c=lJl7RKceu|3>7e7h$*2fP&n2>O^h;UIWlX=v6Du6P+eGRGg~3xE1b}=FeYffhq*klHsa^V)^rQ#e*wby|3HS3oq_Fti%gA%w*3|xir-w_d=bnr zOdZmSA^c4zAZ|UVb+Dnp7HSkJ`Jl~I^0C|Z-%mKniBxKC*`>6I)02Chz9&X{JGxrG z1tKKM_5))$*N`vz?n)t-!=zW0|) zbW$N}kNA5J~H=_pHy#oEcNILm+WeEPA&qC+4C2rzP!(6t*7?RMYkJ@Nd&J z^{U2m|CZ>|%}(tdk)G$h+umnj#=e@M=@YvasK%&5n=gl(J9@XOq-QeGP%|xZy#=ct z-9J56x2qx}sJf}=Z*v)c95+;|KH_Fhwa(u<^dZ;{t^JY7az@@x{YuJjE9vy#J49D# z+?Wx$RUf*#=7ub;mZ`H$cdE7h7q`TcqTW+xMOQwD!2H+WS~rhp%=z6$uUC)vw5K&B z>?Qs2<=aZ29~zDiF72En-<)>5SK_));g5e4mK$&8*iAJm*tT@n_=w-fQ97TIZ>q~! z?5k#WDxVRRM`5(6i;m)%zb4eX8hf50OHT}gAdA&2nI&A39xF&tvMXfZwb@2e2r4j? zdiPwoNy!ZdVYtUxBV87=XFJs06WM3ZI8CG2i?dcU$*0X)(@x+PdZY8~>3(_;($5kj zSmZU6N)-!1q)Q^vuAgX9{rsV6k8Za9i@LAgb6xRcs+Yc;-ibpE2EE-C(ztfdiG$ zB-6(!2)|^S$U&t{aY`-7qlHtu(opzJTR59DL5al_;;`f}#OKebjKR`xTc-j}`d$d+ zSZkD!`*;A>>H=M;JetIHmxsAo;GeVybNJsX zucZ8lz!}V!H@;m?%6D(xdGP`9JBv-;U{cbPVXvm3q}Ae61vwgMvq5}Llr%(~;Q_>% zzAN#fg-tr&^p^%_v#WHoloL+le^@!{wRP%aRItIn-yXSKyG=gfzk1eYEK1_5hw2jz zt2XlL5}rS7+#mu5Tf<8TCg828PRbBDaEp*wwyQ$*_B|sIYD|WoA`KqXWm4hQ;1q`M z0K(XNF%)xIYywCMBM%Ej)b1I#-=%%G-qzoXyG_R$P9_mXl}Py&5hnL25Hkp6GZoXK zyT*6NHvvbw45ol2vdS}zL>!~|NjvC*3MfNyWaNz4&$Kg%zwvfz16c#IOJSzw+%yJB z`lUlgqV5K6-g^M@!^i}Y;UuS9PaTzRvzcmpr8t+1Uv(I}J2b6oGAzNS$@aVw56Y-3 zuQKG|t)PhbRbT32cbY9YOQOrDAbxP7bW)cYBY%CvHgv$P3wXK}wv-K4cv3BS{Q%@$ zs}Z(Ee8XL=%DuX}2=$tyzN^poKvGf`!F^IpVsK2L0M2NTO$(Ig>XjY`aD+%?Kg(yqr3oN%w=B0pw(GTKeu<4fUKUk)UFs;a}ldDlC?NN=(pibct zXkuP^f^3Yl<@pE2LzL_jLQ~E!U*A@Ns-E=d6ZZ=5+3vQAxLIs-K9U0Aj}Di9(KqvX z1wiNG_M~v37)soh$ou@wA1YaS1(5m_vYRX@oHEM9A-Xeh8irN1$X?SPgPkJtN5KYJ zIp4@X z{C&BaqSSl*`kR&}UKet;Ha!RPhLlTtfVj}*oLGm}rF#3ryblrrD@`QCOKgk#9?~Rm zj3@@$X!kcBBi;T8Us&Y&95kU`WRlo|spz?7+Nt5}TR3*32y5!KE_jQQ(?g%?yCj>Q zYb7vSS)M?}*DR1evkAs487|J)NWvM@6KuPa}MX8aZ#!r0z7 zB?t1EH>Zs63QKL?oAiqy|nvdm(YCn0#+85^#{#fhj zjnCsHE;-~ugrj8gyRi_1sOBhgC?pY&^y$jWm9QIak#vH+_YTGmGo{ovRr-?mepsYd2w`^(>2_jN) z%%h1RFDLi-WYQR483rTIRGgV<*6C@)nU_wkciTtnc-TP1iAO?gjj?p+3z_bhK>pAu zqcD%uK*l(b5;lX8n_kL92xBzKl);zTn# zpw8eSoPz-Pc=M`AriYUoR!hX0*4j*QZ7{|mkbNpU_^WM=F{c#j4JHS}P6K(UCS<5a zNg|L;${=Xt(mOW=H;OX{9QS`2T)hD~MeNo^-jIa*G0u#O{4(yINoV)%8gV2~lF0Ge zhZI=|hbnohFX|jnWF_~nRWz3OiZKdd&LqlW1I*lG3oWE#p4p8RmWOz>p3y|26jRR} z1&V58|Jhlg3BWK$A>MF+8MqOOEW8mxCvHTMsJ-AYlHXMkajOt|6va$v@&e}SQYO6OWRlp@iaC#KkW znZsR9JN2NC#@(fpu3c$NvF(D|){JrsdZY!}tTnwxNuNA(QmU+~ecyWAWES%ZhVksP zJ^sy-nz6&_75)6l75)7~J^f!d3>Y=|P7IZ;S2uHOhS-J<_t93jd&92W3*9T*&VWqY zTR2-!RggvcDccqEre^$U@9PY|Dx1$dU7#R_r7H|d4s7vJuP&Z*qZEQ|j?ayE$_NR5 zL&HTgAT^`IBqrSRcn`%vGx6R9VItgtB)BEE6i5h*w5#9&G~D=S~`6peX^yajKpvw zWB%)}RhONYEbJ9f4dN3vh2>kNcieW*1nA7(p`|O1w_cE<6LOiiQWsp*W{r*Z<05X) z&T=houj=O@w-)iG3?mL-eKxzCR}J}xQt3uFcr1Wz$hxXZI-gj%H%Aw3qFU zrb(wRDxHIkkE{~$G>c9lS3`X3o=@Q&wIo`C^eM%635zKg$kx?rDGfUwFxUiu3=J zbY_ zNp!rNBCBtki!fPsS)pn>RE|vR&24M>Y-*l5KHATq=^!!@QFP~>nGD~LYzT%HWkfa|!f`c6s zcAcMwcTdIND#5|}7BRDIx!&}JRJkFfiXdH7^C@@h6dlFWOUJe8_CY8>Z z$A#|FRyk$AV>Eyfp<~k0v8gH*a7Y3RQG5ZFWa$+XZ`IlTF|sHU)VW2bJ@{QTFgb%G zij546j%7IDS~iAW&9XKudT#;eTxa!a0Q|t_<*%!^iK0%o?-JF!Kr{Kq$xGLod9a}7 zs>Wnl2V;=rdf}xU>&G@u5ON^CymveyU?5;w89S0NZ=pO3tS@wo1fw^bSv-(UkWx-= zZZM+`jOqnAX7uc#c12%TsZ}4auJr+)(Z#Fmn?OQ`9dQ$Q zhZgvA zmByWgOt7Q%0qoCvQ>cA`OXvx9 z!|vXWb|%;E!fyv$t?-}g@H7eD(Z9@hm_h5^U*BhyvARor#r!m6DVSI9HIO6-{Bfgi zb10+3@qKaj`n$uHn_jT`{OBR98CYWfkW{B-`k2+ZMt65R9l^8EEh`Ro3> zHioX+=UZ_hz0<0x_}6r4iQ9*ezFCFH#@W*WTGto8v0QaSO;@eF^g1(@?rx6mSaipV zvHA&yVuoqauS=#1^PPdPR-2Td?Sb9NudXi}p&+InYxb8emPO zrv20v++h1b%zLq5aI>dPSjPt9SR=mKNrXCXizRU?rsxHK{??x~z2QqPX3J&H0*$F$ zX%>l=0Y+Y;QS7ntH60r-FsUA_LaIcABmvA)gJwH5CCZ3Mo9^K0-GVvt9;rpc8eV}c?(#Vv$Ni65wRZ6h z>zc-a8Sss)6fBsFJ(1-$n0KF4kc_}0?Okzz;-W0EgN6(Eaj1tx$QCFO7|EFoy7Hg; zpV-FWWn?(8z=Py2f_RUxuvkc;84`iw4xLi$u35JG;~x@S8!C*?cZBM`(`xsfA1oIF zz$1J%@0Z>gZo4P?D<&CjfSzCZv@%9JE>f|}VZ*FIY=(p{Ir2EbHPmw_Vu)1}N z?UYL}^05-h4zAD1UE&cX(fx#x0nx_?n}9!N!Lw&wrAxwk;#9rnp23dvF zc!DI44etq${WYBqcUbUwDH~$!4xc?mAw^5&{RbvrloepF`(O2(cFQn+mZnAb%rveW zF5CH7bGf~@c|X9tqdR;5$wXGR|6n2`0V5{^%m4J8nFtseIhfi1XZVk}|Kk!cGBYs# zH)sDBjBN&0z*$G5g%S(~Uz>05>JoNH+lOVD2gLfjk3=Kk05Pz$v!fdnx=%N-gP)PX z&HVRA|3%ML)xFNv{Kj{cPsN1lQpU)vm5jhrI|3OQo0*zlKuA$1JQlZqa&lmLaxzXt zv;@$t5$t;`PNW3X$pK_b=wz@sudw1yNNX*7PxQ)wFJ2S;o*VdClL;z z36L{DHV`3zXnq38bsYu7(MQrT zEIkkhfW8cP*%TFE(v4s|Zve_4i~;Be3oalwzb69n0bo=^osbKtM|d6~O1>zU~J{7PsF` zE^a!`;A)Sz@r&+>$&4~0Bcvu47UJ+Y;yEjiWF64tgUQ`JwU2HM%IFH};R~J#TzGog zzs>N}W~>kraEm+Gg!DJclacV-xCww0uw6q_)3f~@fWRAId}cZ6rr%%Jv}x2luAoWsLb zI{#!!J zKyFMeU&?g;o2>rynXDK0O$JvF5*VMiAdb&=>5qzrnoZ#cK+oU{ev(Ku3nOW-7III3~jCgAHGG~ zJOF5sd!_t{^Z}xe_QOMf28rL`Jt9;;!EbsXf7uUBLF>!Eg7qGke+9Dv&|>=#_oPwx z`Oi;ylKtnUWtaLE?kwxmzo8oeH3a;J(#$k}x+D5%>B};Mb$?I?e~ju~-QLtA(-5Nl z2hhz@e}i-Y(C+*8q1mtgjh)zj1zORa68_6=^%tH^TTfH})xSlja{F!9Z{O;X*n8FA zY3OlyM^|n|r;Gb_dBhIX5%|R!e?hu^dldRgzE^tEZ}KQOGPwZwSYyz8{R#LjVN*5-4^LzN8~=A-H0pQ2pXZ(+0ec+fVywERLSR3Nsm#@k$v~Z;K>r=!Pq8``x3ZX#p+~kEq=(n=dU-YZCz_ezfd)ux&H{{dyGswmdulMdU z3#(q9&xq0KrYsY#3s*0o9R>I;y@%~=uh{r00ffE_U5jphl-2YABD`V%xCYIn66k(x ze7_~UbLt_VR-`a8sxO{*sh=Tz$Y_N zul$Me6! zpB5;{UyT`)0~LtJT+NR5jkNgWMp0yO!8;gTVU&fbs4+p5q@*z$%MqN-h7H_;X=3EF z8>i{^ZD-RZk|0Sekf`9kaqCcE+YH)>OsI@D<^X|rJtZGw|_3An~VA6cupwPfhg?w0@JkbGs4H(naBk6(zXm^9(@qfz84! z&|AfRkvXS-0L$TNI!ZSq0h1)Z<3T{X=K+P?t$dq5&OrlZjWsmudHG8>mGLNU{>8(~py{ucQ{?n6fULdRcSmpXE+d;j1(q45uXQd?0!QD_3}OI0L!u6TPC9 zZF(bOgl1xdMK>2e$h2{KaZ-gret6b+CDQt14&V-IvmaeY2qF}z2T*Fa{Jf}3;eMAd z-F%T}yn9Wg6kV8oAsZ-XZydwNBmIrK&Kf1wfis0tJp0;s%Ua^_xtMr1;{VpuG^ zb%uvq?UEcKFeRSe7i>PtN}b+PJ){Y;HXSO8<{DH;oCu{)_@Z`8)!1HxC+zRHDL{^l z-A8#~E30`q1aJKjc7aIr^LMyKW^mXom`D8q7E1On>-SUV-Un%S)n^U8J-zfGL)J{v zhLhO4=GQF%nn}$1w?s{}ULNy;${2<#|nh0ocT+^UWs^Es)7{%}ecK@Gz^pa9( zN{bA_hU?5_DKD?N3ZgL7Gb_u*+o#)9V44%ubN#CDTrfl&OwETR5by&Tb^AehsHe<+>M;dh3asOUf`iDvD;E z)5AYpLA5}niCsY)qWG^1iZ*l)X&pZJL!y+}8TuDzUmC9CwsLo2>?7v*MeNT5U?h81 zF9YNz9BocT`*p&vLy~Mx0Pj`-p4MU!+&v$(ESYPn6dGdDTc{fFv29|O%Ba^w5eEfT zQYPgLO+%H%Ew$6{t&T(pk`9- z>5wd2NWo9&&I&jFL&$+Z83Gr@KlD&@WQzFGwG`L;;~iTk{6&-rmFF;Z9_jffC0Afs za=PBUpSX*P2b9xOS=3EM^nc!K>VPc%lv=YCO=VaK%+vU;FoQgQf2jr1%e1g{;1Q#)c3 z`#@~QAuPbDc0#HT7uXBcC5(`p<*<($M6csC>2_kxhjg=0LSrf-z(oNL8>}lV zWiqp5N>{=*@J;hYFR|a$LH)y8I7Nzkk`$&InQ3*)1`Dvh8(t6iO z6ddd0YhbM3$4UH71Rd_~Cg0KjtqLTM1}$%1F<(!+6o>W4e*Kk-Bv3=HJ5B?o5%znU zcC>TNbR)2aY(_n?mNEJeQ#MO9$3>_E3FT&p-^Xx6&g0t$v*hioz!K5c8j~8yBuV+n^r?S3q&(u;@R(^@=obqGRh_6p ztM_ZlQL5y$A9SF4Ea(j35uVFohAWOCJ_;`6=~L;1wQtc31#jGa!fzq#h`BgP&^jt~ zGzPv$$PneA|9aiwNRs2El)%a#EGZ`(GSo%^#$bn^2!0fv>+CQz%Y?OlxOTi*on8rG zw?gL%{SrH?+ICt&j}xM0AvQ{+=@?P(NffGd(3e(8I(l* z>=AI{p=G?Dtz2?@w_elc3_oR^Tyq4P6y!I`0O-821;n4uUel}0T_?4X5;nwA$sI9g z66|iJ<5s3anJ2S^r|%Mr4{kKy2}kQeZY3OWCv4Y;JwKL5PvCHm3%HT}BtpiBmd*>z z)W&wac+O84rRMN%s+q3i$`!=!>GsDW*)nqxirKz5!FwsGW8E!*n+TfLV%q~{g2c(i zlAzzkF94eUs6_4^?G5q7YX;4P`M8iF?;ek9C2#ES{!V; zfhMoMi?2v)Y}wxTQq{wcZxVn~@-b>^Su4FrRV*?HYMak!=yu6@k~ z0mivVRsl*M&3#s>NO@b(<})>`j* zX|xMKrOrS-7EMd1VXR7&xIH76Fnxz$--ee%Vh?)QPB>3jrH^p- z%71Mdr^Wjl7kk|dCC3I&nO07Ul7Lp;r@@FI>$f$X!WLbfb{mG?hUL1L{5{++Tn~tf zcBFfjWK~3ye*#vGRIW2>Du5df?4Z7ThYi2vZFItzJso?VIG;L&aQH8><>jDFpRj~!5o%J2?x6`0w zbBkDYU`bB~U&?keDTyw7Pgqh{&Tt~Ivmb!&ygFC0?*y`gR)4Cm2cCD68V}5iB;u(ImKNL`nxu6s%KVCX8eUnT_R) zceB%OZ3=b?L3hyg8H;BOgC9|FE{xd`qy8Vm!|T zY-+aUtXT2mV`*J^`QN!6^r_xURgdmD`SeCyI1 zm}555_PR|Djm^>-I7MU)Z@~f&L?S+2tr)Z3`HvJJPg1#IEGt}<^{DQgq&^=kGL%K! zJ?}87RRss4JrD^mAm|r(T8sOIpud7WBzzB~olbg?&nEU}A^K@oq z@}7o=#I@vwlu&frTtNDW{BcBV`zCBSG~Gtb%PjB?e>r#-279tO$KG#SiQG3Q50)=rH+SVl@%nzut5r0GX$#`o-P0gikVEPNL$fg6DIe8FdMDaRQ49BVP+MN-<} zf*0JBvamv@OVicjlLga{8!@f4VK=Tc)%IMy_|H(=^YGBgvOG|KBvD1cN8s+r;h!o~ z2B{XW%4f+TX%Gr#!5~;&%W1`h+l$WrY`M+esg<>ity9CI-`f`!Eby32!s7!Nl*Cjt z9FB>*KdBMFm_OnV{+&h}RS!AbX5yBJ2L?ZUu*V0Rc8M#rZlEW|b}jDCr|QE41GNoq zX3UCpTdJv1g>GoaKNx5Q4fNA52CXWB zJ(%;?GxnnP`|(2f>J(7G96y}LZ~9(#f4eo?yX0?o&ep5*qT&0q&zK!?feJc(%5)6g zC<t3*b#)hUyIyBCO^O;1z_7trncYmoGjag-TbJ62{JhW|l( z*jE2N*R6xsTrA%<8H+q$X^ zl0-?}SzL#Y2D{32rc+-Uqjk3m!R#4XRiPJkpG_OqP_9OFwqQ82oE}+QRN+~Kxl_1t*aRRtFmYHiP7oJaDuMeCa54m+Uo47vzy>y# zl#(Bqm&d}YKD)gT!Pd&~P4@`^d%!hey>!+HS+8|@PdtU9RZHm11qQk{(A)?>B(aX; zX~;mrroJm@r=MFK$;Ce=;c%n$Us^r!%WfM4)6wbg;k`mioEq ziC40?3X9@W?&Im&rWkfiY9w%+i?h;ZD9q(pQl@bEw&b4gkMs4j62y)!x)^%JZ%Yz^5azA zBY{K0a3o)T=i5Vd)yt#-BhMOta*7gpFqI(VHjhRgDd?{>rEiN?u{=UUlV>u1Elsa! zx_mxho{Mz&@v!HOd8a*Ft9k8CD2*JZF)^vuM|(>UJ}e|4&1GppjGO!m%^E!Vqc4Q4dfvf1Q4>0ZN?Mt z9mo1yBz3S2qKDnW3?-vU`*dIcr1G6S^NyYs?@bzOmB5tH^!nX}`{0?G{R zA2Cx-O+Dq4A@f$O{Tc+}=v-^-yuG5va*!hY6br-n^&~X=K)SXKJBNiOsFiwr?M;pH zpL+U;-j67z;Ze~)OmxU!djEs5WQZu@R1`4_ED1)hng?sO26X3|=p=1i-BW+9Vi+kTlCUV-WK(Ygm zgw)Zh-~*AWCCP?Z=T!~V`fp*INqye;Owo$fs8vM?lKp6EIm-rD?Vs@VfO5-}2+w99 z#}l&6)V_Tp25S=Ts?wEmGdM5lJOSIs_i0pdZoMMhmF<-gVNSho41GuL+Cj3U20j1w z+ehZ35$^qjm89zq(Y#wv`SCZd4Cg((I?~6BLZDXcvg5!*QzA-OF}m~b;m%AIa7so3r1@V*l#jL`y{{D1}|cU=s7 zs@-pi9HQ$p#OcW)%43DQ3EKRIE}rASE^ZnzK&ZLSdQTFRra9}pe(W{~5SYEXYtgqf zzg=vv%*9r41GCMWO~)kvm>w-j`erX4Ey9OOOjC%Jw+qZzOW4jzJ@$SI}gDy}_@(1O0W*maW+@%tZxO{R7dLFn{RHV%j{dhE0`Guzd=0 z$|=Rz<428E5tXO@>=-g~uLAt`S6LpsS^7xpMWS&I81q-GAV}p3GGZKH63K;Fi-$5J@X;|4dN9o$;{a^c$I}UlA#9mPtNk&d7-&PY+9|!na~@>tgrUr z*912~B@upXjp=2?8yA|P%rnIEF1?UnF_A3i?lv)LB`7@zWyV(mo@ZczRO?%Q$-oEQ z-81iC8c%oF6ubPw%^kjRnfx%{`QZwY=OlIi>Jp=vsAxstWj+L}CQ##^YrcloAJ5z~ z0KYIzFdp+%7t3_Kfgdb1?gfvXVnAO#VlG#WKD*iM1WE3)n`!SF9tDMLBQnoW`RY15 zj)>yR^_U!5SECO#omadQ69lLr&)?GQ150TeEuVb(-?CL|tn?pN@wGO=VgAad06p*$Xx$59iA-k`@)o>M6`()|C9z3ps97AN>*k-A35VYM@S+KJ3(gh~E ztwooi{VEqNmGjw?vo=TH*GM#`U&<%~u2~rA63#$o^cBCMJVd)xXT;5^g;jp++dmI1 zX$}{ZF~sM0aTgNS5=W~iitYg!k~jXjcC_xpDS+TzjHeZZzTcX2h;cmXTF8ys<0dZb zB;vgfkoQL-13b~Z!ibl|T{7Hy=uAuBVDakf21}OFbaHOKxh9l*-9{=*NK>3&P1fX!A@n(_E_FU$*W+qdF<6C6g3ioJ^KEzH$FO+)QdI! zapL5z6D0yo&aOnF%9a)jocrZoSk=f(hYy5UvzC|}CfT$V_kQ~v-WZ*k1X6 zT3{7u>-Yk4O<1lz(5fXxKb^!Ozhy`87Bvs7PCRc2i8Y@XDFUTgW$yUv+Lq8;&r_Vk z^S*=>ETUweHggVl8RQ4od*K94kw=Rw?HpEMqJ01OXnCWE@D~g9Md04IBUi}GtK!rk z#clIrIuzxoxXsIZtZKd0N^pD3V)?p0{C$7DzF@X^0;*?%VQIzir*4%>5y;{NvcT=h zN=t+0fNP%VCZ1q*Bcw)4!_U6wJf(F2wh?VTH11T(?8Rs3@xAh>U%(D4p9%m9)t}4P(Pwk?jw`VW*PbWmNVWYm z+sVG2N3UTYOetfZ56NOdmJA*rL+uID7H9B%)sL=IhXH43Jvch~noOFj zTdPB!NW4)5qA0pxAc%`^eU!dBu>Ba(g8aI$@fr)ZJGGR^r#;ss5QGYmq1Ctth?>K< zR%V+PHN|GiOm?LzZ)~GSY~2k5)Pnk=QsDK}L^ePGoD*6`MuxMNuXV%P8c*Ic;~Lz0 z(IEp5i?^jWGgvHWGdrz&H*OTod%7h^xki%CjLu-a{EBwf6*baV8_zhu#a4sD-Ctw5 zc(c6dPIyleTI$IRNI1$6I5qW=;iDVmg|!}hoYd`;RPH~Ng+Ro(GhgeEi}v8D*je9~ z-W3i&_%Sz9f`B+$GQxdu;|z!O+<{?PWPchKtK;9f?zzi*w6dp9g=?v!D#2@z-nEOOaT0@FxZEC1Y^7)2Z*0ggs}Wy>*0COq(ZI zG~E`3oa7bR$V-&C(h#Z*{GEJIG3E!20Q`EscEh$9z0(!|YWPK7Ley;qEty0WmXxF# zf{ytgZ)x#dCW3I45RYdp3;N3Lcj{&zuRENMA4UIi?$z^lN^U^PtnQ+HurFLbI3`e6 zuQ!fXzD)#IEiX;=R{WsVScE1NKZEx=7)G(y>w(C~l~j<}(s2F%_QNvD{U}Pc>C~w29c*rMmgf25E<-Mb+~a zKCbTUn`>+{9}A1!{9VvjCz5Lv$f!v^Qw;ip^%rnv5P?JH zZS;2wS*GQkXRx?wRnK`iM^n(^M`C4Llgg4v?!Hm}ftq%0Ux!k-syn*gKpQru7uE8j z4&`jPX^FI{?y|RDbi@Gmp29cD(DH9k_mv4fsqLrSeZ_z$UIcM@KD)A}dgIhZAkJ3K zvj%uQt~Mu1T@Qrxp(dChJ5#*GoWl*3$>~C)%SdHH3j7`Y(g`i=jZnk~Lnzd{e5z4e3 z%rxCHT;zR6<5|KO7!v&u5O9+P5n~aDcK4VbeD*CTS;n`ObQy_e~#tTewJs0cv}U=2blts zsweJPgWQ*hcrkM05~feFwDpF6FN?650tlIR7^LTSwC%zcz0tMEHk~}Z2??NuT(k*f z$p!ZxvW3~SR|b+K*7p3&fuHCV+`I;&c?-Kt2*+7djzAq4)$n(Wqg(XRbK(b~r_$(j zfP!L3az^$-7b88tKC^6!zcOgf2Kl!{gva-zPoGEk-M*tR&`ad4`>b(_i1S@hK6AQyeNN-Lrzub^kPR^Q~?r*4CV((Q8V@72I!XXb>V;9+j4+ND8h% z#l#ICf1dFeqO5C~>bc_vgBwFzGKF6FmMxoEo7KPitrWI|iqL^fK0aSo)Ue(}NM|NB z*K3NMcVDmmE*b#=V0NZHO3)T0OefivwHL}}G8tfl4+2q)UgbTvf}1oxLD1*Xn_EZQ zC&lS4W(u%g%KZvZq*mWxyONMpp>`RWky87dL0O)uOT~IT^CnLikVE(W6yXnOi0)P^ z@?9+z(gJlj>;oeb6#k5?4uo10Owt#JMbcm-%Yo`3#RBomJz61@DUgS&qrz+*TK(!} zRj`h?qW-Z=VI~g;8BLBOiQj>0&x<2gIy3g)3wuLF{~`=d6~bR<$p}B!;lJm^T8r$2 zdm_4-span~+$C7dn;e%aShibRa?T2LQ|9ERJ81Qu+c;c@*j&()`ZzA=nq2$BVz7d#36KJxMCo7 zR=B{}OmtuuCs>7!S!MQ#P3>0i<+%sg#k@|hkgQ^DP2ORgN&i~uQITXTfIKp4FSb}u z&Jz@hl-~Dn#M4nneUep?%SPYoiHBxCjdV4xlB1_QVc9*=bOu62} zih4fKOaVRgub>lo){&bkvSM>Qr$}NTw^_(#Jpx9^jY?OQNu$797a^v7a!xZsw*G(k`aGFdihmaW3Jf@Rzij;rca|zAv77mmpemEU!YKnU< zXYzb#Y#x5n`&Bie?lmpgQH?x!%RAkf(iJc=hA%i`r9amdAhP6S#eW17C$5NT>VVUpQ)Kfp`#!s7- z;8r~S6kw{Qk>=rY*E92_B7hCmq>C3iN`qY7eouK9^x0G!SS{YR67R4Uv{+-{D29P}M6LTCDdn zPck=->Dtz0M|QH+LkWGATWMoBYYp$&y)N6NijQ+o1J6xpvbd)GO4BoWx>T`n=ijwi zlJA>H$e6u(X|;!iYF(;TRaNLyYf|n-ZHI-r*nx6|i%-`Wz(ErA=#C$JdkZsw*;ca&Yjdi$O=J}xkeh% zT#i5ZGu5(RLUVWdMoFb(*6Y9)iDMR7+og;=ws$rXH&BPtJEF}&L>kT>ZBpQbPi)&q zZa2G0N0)bihiaVZYm!ErYtj(EgvqKZ0Boc zVNU~)FLAE^m+ypj$h0-B!LtSAl!QZ)vR1C#`8+?3@FtInn79D89j2qBCw&va7OQW4 zI#Tw_hD?#Ub!_aw;QSd5iGa&3*Y3TjUhRf?e5e^a-R4d4cz%fyCT}0- zj`jF~!SEwPg(Ez)kSi#*;WOGWmBC*}3bik))Z0S&9lvG-ce7>+k4IzAiruCPuMXw& zU~Fs_RJ4qytnS#6XoldT!7}@@a_Y@%;U3eGb_~YXXQq)-X3R?>2Po4xV}p8!mebNr z`N<p+76dLXrPIxrA|$&hruM3j3jm3i4_wPDJq7fLXpGy5a(dA^1UHs z#3f{y`4p*}M+=t+DxDlee6Xble|e+slv29bPuc2c)8PX>#_G&fU8|k7)jE-XIx=Y& zC3SaU+Z}~d^6scj1=F-|_uR#(3Wi8=9+#7PD!}eX)fv?<5;$ewoVty$W7Z~o)Zfo~ z78?XLfLFA}<;Z?i2G%}dd#1PfzE}h2_X@He_atdrZ5vruq_m&WRZE8ij9J6D!{Gk%6Lc;Kkk3hpj!2VC0`Hw^MKXsaa z0vzlAuk{=41ger`waP}JBt?uXOgTMAxggQ%1TzQ_$N)SwM-Uz4=j7@Nxj+O9g|t8k zyFdtwf5CbCKJ)o&_5GXCoa6qov%2%T^V(Ar8ZM_3DZBt=3l|?a7>Bc!C4lJH6cGW$ z4i5lvbPNLIUYDNMlrNz*w(DbEl8PV>f&z#9t_NWu4gukQng~dE>qljeAuvCV);A5k zhla3^h5`r#$Rm(9GF*`;>V`m1jf{jQAA{?M zbZtU1GXQTBh+hGag#bD@gQ*Aj!otjhY6$#d#Uf`z7TCm!ctf5G&?3?ih(YMf9z=r* z=|ga(lw*JnBi_4l@=FO|5}3vV`UL*-1FR4J<;)3SD|hpo^hy1xMo4kx$^-!uvbi3p zZ>x}o+J|Hb4Oli#=}4p#e+7Urb%2gw9O<`v9GE4jpcVIyyXSgI;Fnbd2H?cLulGpU z7o*@w5`YZY{LM(_wQqZ`Vt^7wLivrF$j0t-JK5K&0A^=ZO~JPc9Td@ zjdfqFA;F2fsc2+s7p0e;6-{WJz{nSP!;T@`&_ufEVB(|(m8 zp!ar%RrXz%f&tQg4LT6x@F99U?SFkAzg;JOqfdG(zWCFBc5%l!*EfD*n12Dk@EziC zmsYOnhj5*<<6M~({CC3vUv?FA#_+Ez1ZeW)Tz+v?1p;o42p}6f3R%F}@yX+EWAP*6 z0y~6gmhvU)p7A%n?k~TPvS?Aj6hn#gdifY#H3as2hi_ECe4Jk~1-Bd?h+k?&p`n4=1bVf7X$S#Q-|a5-LjAIFaBn-_reiwcep-Alae*9y{pw3c_Vwoh zGB5m28l@zq&|{Vb>%l$4%{+K;0^7;b#^}}&7jNe^Ey@?AhW{#OZ~Y}>!&fcFnOQg` zkKy@aX};Te|9zB~yjfSk>dWr)iTtb;HA;#4&7sRHm15h45-s6xgdV}=jNya5;s{+y zM@z;tTe^&!$Vew227L^I3Y@8`wMhl7oGe)uq-RTSL4-Z!*{t>+JDy`ovo88U-^&Um zsUTaThGfM%8sk#lr+0OJn@xIF^n-Fzd3M#>F^dsI5{G(SoUlJw=Ej*B?U}5&_eGpO zH>jN>ks%^Y8Y!0u)2YVA-C__sbru0AJWIwp#0B;Vj;Sl{^5Bufx<$U9mD;CX5ZZ8GOsBckg11!a6gIO4Iu z*_9SJP~5Eex7ebs74$zV&Q@w-A@(%rYIyuGRWA5KWL>tn7Z_m-Uk)iGOA=+?M3_@% z8ucgAOK~~e3_?5dDGnTkD5h^w_prG%DSwTA600W}D~sxv{rt!SfMRpQ@OPGU#~8?s z+(n@kFSV?n8qCghhb0Lc_~+!=;rT;lA=M_jwIR&&xP5tw)K2Tg%nXk;PP}+#ulHB4 zCa`4{<}r<^jN~`N$doFQpra)m1=~}%661$Vegx9Ns7N5#w9e(^MxjqK_Hf>#Q0OJ|p5Ek4D8?yt z>cN^Qj@iYdq(QoWdo6F?nuf@jlY~p9hdKXsSZ>ULS_&s+1V3hRYY@le<-KDAmx5f` zhcLlr*y5(=EF`D;%*u6FO(H`3>& zhh0ypve1T#5G*T}7HgB3WlpJHH~|(`GsI~*a(qn@9&N6Ouz+OaC%5i!3*r zXj{$Pq}jXi6DetsWq=Ym@2$upJX9nDyHC%%uV`3A5tgeH$5Gm$ z`4fu-6DI4F|&hV|4QgZJfnn>=-N`}|2dJ)dC+B%p# z-eQoQ)|%wQgmPPJoX?c}MDKmiT0uN z1#>eCm}7y)lfk4M8Wns<&tG ztcy%Dpxuh0Ozbh}1P&!fb2jNRi8 zzqeIKnWyKCAC=QW!{dT{22(gQ@y7)_T>bXi7b`_0Og6!WL6LCfd3r1G-DU zwVg4YfmYC&ywQs9lALXKs60MTwF3kL6@>*J(T_~=leO7()PDc+aA8rtPbK*kDCED| zPcybps%PPH2Hr*yJ+yzOZT3w)T1$-VuS~mTGkhv#L@MeVH_V zt@1xij%={+n!|m;5Ab&4EQzK$?xWnaF!R982@BNAm1 zM$kSkHIybt7wzEoQ#0Gz3R9HpZfVtw(?Pt>uG=i)5}VwdS@p#cPsr4(G;uteW`-jv z1C9=)%r-VGU&VaeY)bUSe=x^x+`rp0r&GP_Bxj(6d?rY1^09n?w>19=Wq!){o37_3 z4=*e%^q5$k$XS83QAjBGWftv?fk*tBg;%>LW2K)1gM};xBE1QxzZmP8d<;PqZ9@vI z6p)q(Gunl`!!UD60$NU)kh>SPxc9_uqt{8ax&?_CcQV>@t?|+PcgfQ2(_{=q!vQ*e zc>VpX%=QnA^z;-5qo@=hQ2W8d#YSLcB;;2sFiI>&cJ2F{J3~@ehReE~A@Cm^XlASR zk5ban`^A*MigV<#r4L3nb1Jph4&O%@EZkT<6o8-O?0xTN37w*1f%I0st|@dq=+5Z)tsy$cwq+*IFvnwvs=_1mO{b_!c2#H4Q9Z|S_< zp$M6?l383MC{B9gLbymDYt759>)%x#&+tahLCUMk49>`6|3=I z8Gz6>@8>fz={C}tm|cAm`BRxIu5d3cWoG;pXJ>#{6>pX_3SG2c@!Ojk_#J|~+g@G* zfbBg7alBdc{p*v><+8Q1l^!y_n@I3O$sfjc5-sggxjwemU~aRWyq9zD*4WZw1lH}v zWAmO--MJ!j5_~n;R1J;f&%-^@a%3q5iwFJat9Iv=pR!b)@h_h9*CJCPAC5!ZEc;4f zhVG8CWKsxsWyVe3n`W_Lk`clA+1KIQh8&N{?W5!&-zo#J zMW@Bkw(p`L)1Y<96ed(8K`B(E`P4UeBI zE$VTy7z@AV+2}>nQNQGDL&rTc%we<)4vs#6%Q7>MIbHIrMkh{=csh;|8)>7pFlOru zWCrqe7ROlZT{VZG)~qI5=SvRCh{EkX%*bBGin`J^8oE08BPhJY9zmC@MC$V8v+M6Y zS5vEg1cUYLH|AZ=0)-sDPDS93K))l7LqtxRKm+}Hm>51LoielLP5bJ{2dx~KMpn+ z$=CFE{|(`QpAjrx4Z8xyaMBR9#K|ER!jr`0>wXuTT5CUVAEULss}l z_T^qx5?&3ElqCh7ZgSi$NqP5@FTM-s>!a_tlHM*0_ag+Dj6!tVRNK| zH4bkfF$zczjH+X{8PfWRk(#e%XC>g+O0W&x^NXz0$-T^Rfr}Vcy|@H^O=RclzjCl(JF%6@R}fw-(0iLrm(0=^*P0MH=^j_FR%v#DZ5(m&b<`Y z!l>5zkWbSKVQ#}kmfV;Q9+$&3ST|z8z6Y}P=`<)5M9SL7N2>U(QC=>yqd-29E%ZK;?7-hOqjP?Y?b@lTYWS2 z_O!i5+2!Tw>eI!;kfjAhLyqmuZBj_l0{!7Uiwg!aQ4<+D??WqmXHZ}c0t$TyN*FZ7 zl37l}mTkqR_EgdDn(!)2yruqqqG4K52Hr;yG(NnK>ECXFY!`rok@dmJRJ})OS(@Qh zw~k0|^a=?8+ByM>CDH@U^i*Y(=T1$fY~-+8;&uujsIZWy^MAXF@%p*F3@pPgeoJ@q zo0t${5*|+4k7Th}ZUeVj5jh;U-^Y)7tI*=cxPeF8AJFMobzwWx{9`qFBy5v4`T5!W zlk%UQ9|iIoJateamMoBJra|L<7(`SELQ=Fn# zF`PgTL4HBYc4Gp$tjr9d6UqSfLpZiqSVP24o|X33Sdq1_a(}5lZnOQT^fJx(dB85oc$j3aVyW+@=3o zkK@a1_opp-=U6M^`R2``C`Oh%hxrb{$I3iT2HtIGx-Mizl)# zD56M|cNTHpJWW+Jm6yW52OPS%!j3-Gj8WMkv14H61107?0_zXL_U7~dw(tl=tKo$e zO2LzUh5JwM8IrB~KS@WDxqBrFb?8)#5tHR(^WV)amhXcLJSFvLa9E0b(X`q5;sNT@ zlR-EaVx{cRxWda}&*7y;Oa;5*@QL|?s&d1ZF-8=tIHfQ@fG|!ewBl!P`;ip<%qDSNb>jrFh_S;BaNqin;pQ)($P1@w12j)IddXYf{u zKuKC;Yy(YG5uyi2=1J_z4gGQOWq56J06oT7yt2$s!Zp#MLg&1!*7rt6i;HchQ-n;7e0{fs%P`zCyHa+PZ}2=B5W_5`PO@N>YMOJ(1oZPU zk2>ZG-4bNZ0Ct;~1e9M5_h^O5t4^>3KD2tybi$k}n#Q8-7V#XF8wLf*K)q7zK~8owe85A*D}9voiW&;$jCr8~Sb z4ciWKdHUM#S!056_ep#$o|!dVH!qdP>y)B$k6Y~gGymjTlBCm23sw(q$Qb-bq>042 z+w)3jR!lrQZQkwNKMIgzu;Y3STD`L(AB-;9emk-!BTEmPu$h}=&!5`l7iP}s?AVU4 zayiWx?#WqHq3S_&F`gtIO`Kt_>|Ve8+cuC8|ne{s<r=SQFMlKG%rXd=sSjyqGZv#2e*5x;!S8L3wqM#Ik~E8kDvrN;^(Fb#+;82F>|C{^ zgvmuq^&3XQx(BgiHcIDYM+4n*#aSyT)o|~=TQW`&DnrO0e?a_(FzabU8!rNA5-Hk# zmN}*tt#{gpf;r~$8og7K=P{ED-vGAsl5E0D?Kh=!tku*Tz8j}1X(o?5OIl25bia+* zV(+TqvX|KtYmwZ{0hxD%(qep#+w;=u@`B{kCqs8DT<}L|mkEc=$zPYs+&4$Z4bjf^ z*YZyGyd=*2S|}&5A1QX&hM@n`6G3KnBd`#9KF2;{dz!@^HR+`vmnQikb)Y{cJ{>Bh zm274n;kq_tqNjlOJNG=epoumSan2|@8C;)KVGWKo+gO>D%K1o^>cE<=WH-%hTRPH< zswxXJSI#yr6e=+J$4ls=RQ~4&ZrS;d@f|uM3M9yOvbJ2PYSF}K%46_Y;4yClItwaG zt)64ebPXzRue|Bt0&d(Ti|Mf##seJ_pyM0cEe3Ub9Vawx#_4-qfWg8kQKs1i2m|l) z1k=GpDlNUM9NnBrFf}&Ozbxs4^G7=_^d?kq#~T}HeGkzppPJE{c3)$&`!J79HGr{p zx9p%2sdJzG2{q#n+_Pd;3Y&NPyWlaFq8muO8zJ|QT*g0ecT&7o0Yt|sGh@e{P=kgt zQ}A9Yz&1s^?)xgxMK59i=>l|O;Dr*-e-KNP{T#q?+m~+^?8`s?=$Pso9|X%4fgc_G-A1qM9m&J> zzm`$$Le^vCJyg?Eax-itGEKM|R{KCx$YG-;bvx|!z+)vpLyzNXW()Zg1yc}vjcEQLwV9oYx7)#)(ca+ zEiH2^ojV%&O^=jPVE0yte1$Lm4ir8wx&&tCcf$-C zymc(pS%L#+QaH8sa><7og@4p`&#U1An2xK++{FQF0gG;Q8X<4CY=3yitXe&tXzzlc zy{r@8jBY^e+CFZUzp6%crm~qD6b5@Yk)bQJoWaZjz7z7iqz@tueqxJQn+L_t=e-}X zNi=&-ncrmz2vV&N7wQml@8p^J(%A~7wj2HDr$0LT4U$=r|RHeGY5;|Kgyj{ z!K?5mo05eo7fIVk_TU2GMLhsjviw^Zl>^$MT2rQ;OfCju>zG)(w;DaG;j8fmj_8F~@C18I zXpA~(vqcQ)_H|rj4O)?9yVZNUEk42lKQ^mKS&Mn~G%p`Vc_0QQf0uQ;w%BzSv=>FcWxph`506|k!FQ5wTn+~1~qurGo z+`nsGQE5-oCRIGL|(kMx|Nf&YTuNDG1r;l!r9jUQ&8W^KxH<8#k6c+T;S z$0AGY=a^KUu=!YjHf?n_a)0On&z6^y)-?MU;D1y3R$InA;IMcFcQZ?p!UxZqau)3= zX0IceX(6_Zq5hzM6H*IbYlPE{&NY_eZecM=17C_%gLw@rF6~(mYz!scU?oA1Ui*hWv;8BvcxI4Q zOYhdYumg;E=0I%0)F%7TN^|V&zTz|z{gEOGCwTm)BWS;T<*F9na!xwdrBO>!2bKnI zjBE-5OVK(04VAY2c)s_Ro=<0ppyxbTRG^Hdu28EcQ6sjX2a2(m^vQhssWEZ%02c=N z`u7GV!21)11A{;Y+D*LSZ#{_2b+I=s6-{BNFfM}#gAI4Uf|fd&3xr=**8PKo_r%iz zqCSt8KCxm`6LAMKrJJrkgXqdI{#AsJl>iga{S6Y0LD-ZA>d*yG8EO?ahIQ`U^mg(} zK1FP{wpRb7zKtFwb~e2$2jsWEN4eg=^B#t>al8dvd_w+dl57IG{`y$df~5fHg^ACWxWIar(~gb0h$%fa-W1)z zUN((NZKR7jAcaqomZhVA^TY%Si|Rwu9WQo|!<_d?y9U*OkvG(E16~KFR<75@H{>mP z>MyXoBbKg7bnJWzHfCg%T4|0lq}$id3~zx%2F-+7=>ub>Jz zXC0ss2Ot5Ri*2B;uCA$jJLZQsH#fHZ+qeP95OVgAas+LgCZ;dz>5e;<9j#>ny1BLT zKXeolNh;^Tve(hmBv;p2=joVfZU6-jR8uqnWMqH9$jH##prBau8l%^Jhwf0YOk?B( zJh^jxF~hhrFgbfChoZ7}uaB;;0hs9608IY?FoVN0lfxq;`vr%GfBM2)Lk@M0UM}MINr_Iis*@<^4>x0fw{DRRHm|~aCD|+ z0DTd`C@|JDz6YZKbAa+pA)P+NYb@<hNMkZ&==9doKH?=*Ka9?|8iAT`bn>^(kzKi+h=6@v! z@aT$U$|vC1_{x8$D<@WC3ScIV&WvB{OZ~$hU}g%I1|*vWIAV$>!2QNQzdLMt^1JuC z&keKdpcQ0LP$nPEc*(7_n`uV%sR=n3%3KiuPb?hEDeJ^NMu>xj+b_lqmt z|Kn{j4Y1_vqMaI^J%03!{`h+;`@4DkyBqL}di-lM`fDeMM90SFTUq|Q^7~sBZSBv7 z_si(EtAT#{`kmV9+Y8kBYgJ+KGCMtynGU35{agEIWBu$KF6f%Z`e#!tFA-#JdrAfJ z%-ZmMw&9Cd?e}ub+Rw#Q>P4=vR~Hq4ml=8ScfMOMCH(nwa{s9(-nVjU2maKz6s489 zp8flBKz)r9KrALk4DU|nHgafS2JX(#hhJ2g`L)*-qi^hAiq_W+kXz{~Fhi?r(63bi z&J}>zey_+c0USW#82%8XKFW7s8$gtyF9N%t;#Y52B4i)MJvbvU2I(&W8bIL^{t%RY z(of(TKopbjoF{F=zXxA1{~2%YfiL2!CtvZuVc-P*Q;y&>yvJmjz}Z_^$#>>TUYKVe&8$B)Nh|F@S|^kZ&DvWXx$H}&hL=Xue9Cm z!CS5UEg9Nxz%8ie59MpOT?!t7G;^n~M%Sd@zn8QPKj>biU$=(4p9Y_`hP&-Q)JuB9 zo4=ATwx2)F@AS`}^?Cdku6lEyYAM;#>FtmBl#jkezg_IVsJ*cmPka=gzRoG{*&DrK z%)O8HALw4MZ->7>`ixv!Tp8b$j<@I8+gHEGcXC_DdUx4vm%V%3n619i$J?9VdUw2C zqyOfLv;57y;twp2U*yZbTpq~iqpl@0zWkH7^aH$A{E!PfIX_U99#wKEE527Za&;7^p4hZ&2 ze9_kX(YJM69#i8gWG_oJE05}zbelsMLX4lTtoiQeaK9FDA65fyms$wXZhtVeWI4k3 zj*Y@Xw?mnsGm(>nynAno@XMj&FpYPVN0Y6baTHqLx%Y?h2!DAw9n!qg&bFGbKPM^9|AYhapd zEi!Ib)XwJn$)?Y%mE$>;%-uvDWPBk|(5i^WAfTDXPTAVSZtacj0qQPZ-Zv$HQEE9# z>u{Rpx4TGp{{42kz=(dy48IYg_wt!-?ecIs2x~ywe`G!^ZhDollR|aNo4{h^zGH-l zI{exojJn$JxQwLOXKWO>gAvq$?4G5q@_>m>092#7>~q@?bLhRXQN`3sWKsh8yS~2O ztPRlAx8%qll<=A@zJgEtd z!TQkZkHc`x3vE3p?}T06w#w2Tvw>=!P=~Z5H47XS8!$=0GgYoTwwz~t(8&$mXH0k* zkIKYI$MujGgK8e4o5g-zycIJVpU4l5Qpl89)*LnIZ*Xvu+Zyf5vDY|$m^4=1loy?gjekjhG;QFvrC%aIE$~QI#qin$!!zT)@mM7ImX7?u)Kb z!7JrEI$G09Iu4*O{4g@Xg`Mr`KGD;}jcC5kbX}XeME~H)TQk zTBObI+Eg#)`UFBs@!2VlEB9J;ij?9QTwG8H z$HEs$74J?^+3g9*r#qzhAiI=iQxNTvX*3f2@gItRPy;0{J5oJg1>jcQec(qVseeZz z?$u@;H1w}=Yn$*xEMOZ;4|-fXU`sv{CticoAo?(1=2soW2vq(pb^c@f9t~rc3`x`_ zq{noPdhj=jL~u9tpxWfNYUmxX!2bDwJ#jo^dO*0So+;D4_nIU|V^Rk0Y}TG;GIM&- z{a$2eApc(31+yPt#Xx6r2#%u|92)^mmW9Mr zz;oz>_R!t490YB|9)|5WrZl{0*g;crcfTJ@KlDAeq_Jqfn+3~2geO($pHKwt(BO^Y z0X)cKS|~?yX1E9b3!6s5HkI961f)8BGuK|)CTIB`#0DWInI>d@T zbv(SN)Y7QqmxANlG0J1tm??;KJbJE0WTpYFQ-&oobb}bVadtT<^H$X~$88VoJY}8H zZPN$2?AqhwKW+CM$yLZ z0wg3*?K#n5`#Bh2C@qoQ64#|;Tsi3~D-0#bV{Ae(~+A?||u&}m_;=ClHxmrVc_?g8wCA+o9mORkh zK+XEIH-tl4hOeajobaJ|#)RK`VS`iy%fV1vrsUu&+gO9CxmZEiq_CQFc??J3SXW6i z?|V~T0Q!7T#jRlwQ-OudnWnAOP`+#pjw% zo4186wjPtqb)eh$YE`hkNQYa_&a)1WsuPzST0G?bZnz$Zq(>Au6n(qm{|0m3RHGaq=mR$nUFwy|uADZ0GtW^kHs_3> zqqWbSEwgbaNBe;QZo>C2xuVST@6Mvhhza3gTLrfWS9h*i6*q9hc0#-^lOaoZLTZVj zru&^|_9Guh^3(pNC){1h`|1MR7d6Sy>6O6_7wMbu2#?*N5dY-4v3FF{zr}8y(c1bg zYJ?Taj$FkJvo)@vQcvTxLy?{?_5zp+mA2G4NSuiYyA$L|dcIBP@#KKl{NA9v$R>O9 z#L`U$b!=tE`e4sZr$$On7>M z7Cr19DOTbtucdZW(4RBz(uQ~ShD=gx3CbXDI4M=>R4*m#;N!dvs{ZX9p=L^J61eFA zcpqq01)dQNLPvI>Kx{RY$?v>+@m!TrcO%&|aN$VbcVIH0 zPYaMW6mRW>G;QQg|HFo*9mVEAQGE+q;`l>B3xbLKVdH8fQKcmAyoska_U2h$U){Ii zqg90uw^0?r{l=DaE@uW>`I~OeaAjAP=Pj%X`-SMnY}*tbER8xT$j7HY>gbV8_Y z5a&!Unk}K#h=oKkl>(wNeXpT)k)=8#!e4UbKUeyJzOd+?$t?`e2+N9w9E~z3;#eSE znomcZKMvf24hq&+E%CsX21T~lgN&%t?7%H119vDo{4=K5R~H;otiCR-dG;n4Oi@xuX`^Q>&= zmg@T}kJeEL9Zr=Os^*IEww0uXT#L@A8q7YUaVyZ55ECcDJ!Jm{!m#v{PXzlV6MG$S z>2&R1yfts13z>4pcT7;SFr{yU-JLx4RId6*vI!xuu_2$3003Wg{t1J@vJ9Xpjmg3& zS5%y6e(zy%#Pr|mN`3@b#z2QBc5ZU2F&5l`qJdd+V-`PxK(STlBGN<95fpAeq}}7& zc!UTuR+X6IWrD4A!Lujk6b_!j9@l%wen*1>#VI>BgBA ze8MF*U6z^#f!H>ud5quL|vKZ6j5eUBlC7~DMEFLZz0ZLcopj>`@&#WJ1Z$_pU%92c{)1aLq3`fpl;FwToRVI$q zk;R-3U8hz;X1GmB_zVm9p!&uFhv@Ikq+MlRGF9`=uipCfiBr;`qKlK|dx7$IQK{S!L!!=oa>doIJ2RqbY2m65wm2*TdC_u1gd1fsIE<|CPbv47!O@nJ!rxdRpky1F7`a zV09C&64=0^u`!jIoMZ8a7uYhA(=?oYl-RQzG7~vd51Kz)E2r(&rL)0rgMpY5%wJBu z>nA2d7Eu`3jkS3!4Rz;xlpSuwy=&%@pOO0_*q6#0W`1 zni7sBjDpa6+7Ul237Wpa6WU;KJrdcmy;r+=XtpW_AFCIqD7?;Q@`(vLBkOz5LOH7~ zJ23nc@l8`l&dKpyKZJZ$zan+6bxlq^9q4CFlbno&K0^jRlsMx_t%dzIHzu>flhEv_ zZ96)&r{~5f&_;|RoGM6{0Os4v&?PD3bB^CL>g4lHmAD0NEdR$VzC|~BSVzj}-2nUKYe#y+%>vk9m05<%lDgn;BF$X=?o)r=^Ui%DQ5TWv zV3y*(bqIUW9pj`CvJdlq&$J;DyO`7Fq&Jd&DTq`QZr$YBvqB)fzd8oge0rKKP@hHA-CD5MIXn+a z+HZrZRE~LK`{*G0X?wN?c~N2R5`4BZ^g_w>2z3IrI+P7$Y?HA|gnRFAXu>@@ciOy9 zk@fsB!X4y{K{w^zat-!5#4q(r4F*em6Qw5L)T*oCSULVDgU~R%h26U44Gn|ah(t3C z=S;}^y#|lpCyqbyW7To(Tm1DF#I&Mmy*EkBeZD8gA=>QsjzCbKE467FC!V65HdC=3 zJAd0}F{=X^<(kOQ*oCBfT2@YKObWCw4~ftE^TWcnED3P)IlPzh!VSh2_Phwl%bF94 zNl6tnY8v7j^D&k}*CI@)oCFFBR)0+?+aA{0Ku9E94s?@7o**Y|^yYRN@0lu{uLGat z(LoU@jVqAvMjq9#2w|t8rp%FOW852Ms%NOh`0-0UW5=_mlrL2AZBN1$ZA*G|N{^rJ z1k>jN>%1}mCZ_qc-XWm8%Cf)0b=PEcDE4=L&cmhU z;>=Zj;ZdAXodE{l&fL6?dFcvGBA?BO<3lP;dg!CR!zj{ z`Q}me6Jv)PuYh5hCPe@8=;Y79Kt74Yiap7k!F>ap7H|h-=2--e;AdF99NnjHbPC-< z`JBnc^bD-QBiHH<>#n<)_oez$DSmD_gDN+5nWK1#u^wS`E;Md^WarZ5?vJhKxxXMM z|EAVTu~4fm7PrSVIhJi&XAtJ$AHCy4E_Fkk7ivwI0{w$}Y60Bm^WEU6-!X&l#6lvF zci^}YOU~y&u{U=$V($n}!MMg+V=-&H%#Ky@w9a7*?^`VqC)_z-j`6!gAHZn5=)Z59 z0O?S3FKy_`uRBwA^-q9l?wG8H;^nszG&euc1JAz-zXeuHsG~L6FdPgu>4q?Z+Y|6N z!=p5g2&XC#Uy018eZJauK`N>&+Z~&s@k_!t%L|InD)iC%jy#LPXKjeVjlF}X-mrq~ zSf8s#rlTB7l2k6#HygaFOHX(?4hNF<+lwjj%RTz^&~riDO+w*&V$fNvvY+FgxiB94 zt@%zC4W{-6c3~$3q4;2) zqI9PcE-QU%sFKY2)&)jDlXo*o*({U}e@wyf=d%vQ8<8;>6`*YzSd~YCJu=OyM^9{ISnZMkO=Fejed`h{C7EbBQF^ zpNB05waq{(7GEh*Gm_oveYPDuER$qw<~WwBc>CF}I;8XRD^$TEC@3b7%T*C;l& zWA6KYa3Lq>uB?py^NMK?kH}TwP!|YK3fFQvrt2l!iUdqP>_j?1p#9Jq{WP2RUY&A8Aak)CCgjd>fp7c^6jB$(PWtqD>tcUb7TIQ1Oj-JcDm~gI-g`h z$?~$3stR)zTYronr~!KZftw=HcMsJNmoLTi5IMr}XY>nsbp}W{j)$z_IdhnC(7m&N zC@4T9_mFPAcnI2u24d(Q$VE9*co6k-VvP05Q+E7Dvyk%xpN3JLGV0nMditx%oSFVu`f)7BJm zouy<448|S2BF?wdK7@XovU{CFkGLjxj69X2gx@I}u9UCx$$q=p! z%8BPhcTfp0cfTW;piul4=rjfiN9Q7NX3V@LXz1t*L9`WLO4N4MOwZK6bF$}H6S%Ta zEy~q~GGuo*<0ox~i@plI)49x)rTZFg+}`j;gmjW2^7-FsE6p>4e5kkbf+`alLCDc} z8xnTrh{dcfyH#sVOaAC0#dBf+DGMm7h(_mA$(HQ+aj50v9E#$-TewDJM?ealP~qXZ z{U#)*JV5_Imz4I-sH4;_D{#H)Qp3gAxI~|j2%*ha$ zKCioOdg^n#{H+*)R9&i`qz0R$T^`J-Rq69>rkRP^Lv?WvP=h^${;Emo9&Ep3`pv`; zZU*C3s|=;Z#1Z>7Sw{qFyE77)L_^gw5M~+bq+0#M%-EZ2JLDY8W*gHB17r|{YSt99|LU*~4l097 zc+UNV#*wNp#$0@IONnUjahM7^7>iujH)Zu5pEm7|{AV_(Ud4N2ws9DIIu~DKE9mE) zZyOUOXdPGM54T%_`8j^|nQz&7jhp0_ZV@4OKaDL-Pem3QA$%UZ#`*qd?TU@c+I?OuaZxs90D5fHe#7(__Kyn@mwp&5Xt$Nn` zUBy1ABH05jm;j@wBY`MrLySUtR{5j|?5nT1G@I~XbYuC2g`QGLth0~`i3wIk$X;>p!t=N+mLX@@stm^eG!1FEwt6!cDG_9q zfZn5DWG>D(44h~Yszj~=MBB>FqE{yC*0aePV1#juZxDb(C6u~!F<$|WQh8=?)YgG` zy$G?TTJ^6sCtYhebSJ)eevI&Hs@}0A+F1yY%HrgWDHn8fv(lV(x2=?z=p6w{0{oKM zsVrmAxw>JcOPcvAcJJqa;I@DD`YZa@ zV>~#jsWtxNr{h`vC_E5MyZiZUaCg z6Y4mAjksTCh0KM3#rcGv&no;ee9xY04E_tjvT&LRJOMTg+ZpMwfaw=(i?)WcOKTQ{IT|h9m6NZWZH8Z{ZgZ>yHf*xC`#(h;QKaloX~yfaiA=jhWS#n|9`$BKaucE; z%E2e96*&E(t#=kn)Ug4Xv-^d>r8xh;zAdqvb>;N#Yk3?<2!7Tr@gHpWgb$pv!Xpvv zNO!}o&lX_oKlOShXAu&&ld4ox`j)YP>wkD^QX~_VEBS*TnYb)RTujg5@N{30}NMDs$S-)z*z$HHCX$eeuzNZ4BSeBSPl? z;!sc>`y@;>feeb)7PLYj-=!h4vlMPTO}rnnVXHX{ST2Mic`jrN2#gx&O!-)B>GP$r zT_6qzee|`)5zJ3%z|D8?pf1&mwtbR+Q!<0pJ31+aUlhw$p7n-|)Y+g(=cInZP$M$NSWO3gGZxQ6n5`z{OdpyW=Z3>3k`EBy)8B1y+mNK@}}N^*?^UI zYLGnZ1=3^_bc4at>;!9vWW9WgbS^I&=7!iBV@1h9ESmwt_n~cX4(oE%!V!Km88Tl? zKNyD&fdg@@@m7sW{GV0`uT3ZpJt~j1N=t7DKp_aix@9?UV)7c_q#7s# z&b5*MyBpKDgdm43-IE5-`Pp%o^voncW1~3kJg4xh^#GIMX(S9{4YPpIoJ;?mzvt~M zsYQU-+F7$B{fdPkwItmzV>I6=zZ1Mg;8FNxDAu_80oIE+w0L88usyjHhJAM%Eui{V zF{NEIf3SKj7=3hqaLr88Y?`frrFQ0Z!KoJW^z2*AD|}$)Me0HNC*m!=zIT^3qKI^X z93y3zj+&u!0Zw|)#;)_}9;u3&9ExzE|Sab~0kkfVc6>^NV*RmV!DEyV2<^2WKWU`vD2A0Mx%Q6jv zcD`YNa^}r=ewEQ}1$>uRbePQZ;Tr!oIRV>&uj+CwU+>bbnJB}+(6Bpp&Niun%Q?-} zKezZDj^HJ!j|flLLY>#)!jU4ivu7M1ap3J&ag^a9RBa|HW(&Ds^nEEPV&(NXQ~6#X zj8pdncnJ*GJEevyB1l}SWRe`-qRGZ#w9(y**Cb{-gqfs$a7yeBju&(Tsu_iz&r;>-TbQMYR+HaYURlh()3BC4jk)#MsN$dgs@r7- z4b42W3--y1L#n{^g*b#rZ1x?3*|;8|@e4cqMRC^jqvhK2v|<$(*k@?^2hX1pb`~^_ z(UC|CMb3|k9OP8*B$ds?#w7nJ=uN9#$j6#wS-rjj_v~pz10 z5!c1z@#>g&yxOYmO)ED=2fO|lm*yo^XfU;;Hw81r2K>sQO{52UEvd*95i3cqVZyP+ z96B>mM+5(JeL`o1;8B4HXLzb3yb;OHy5Yb>SYf65P7E~XMR{rD-^FQm_ZNf2R@l%N zEmH;t+X8Wr7rB`tZ5-lLgq4c}AI!OLv+AYmnyMupd+vw)QY=_%Mrz0$V9JBgar%xW zJQq#9Wf_SP{6%sg)R_r+RpG$LB*`?*y|EV*S$W{?BpmPPnc+80V9vomX5zqvtAh2% z;eX)Y(P#FSYRyK^f-Cc-dnPP@5M7h^B70XNPDO)8!hVabEiy}6&1#9A^wl}5J_zeyF_yK<%dnG+;;o6 z)hih3;5Q6MTJQ@HN)K{tM_3ziK*IJxC%0Nanv(aH<+>0HCPnW@L4Aw(Yn5%vr&4n* z-u04L8#iRmHkUTFUuL9`!0;F+bjsYXc4Ul{_!@9i=hw<(txV@9>#mv8;tM$j(1X(l{T5!%6;v;_GhWI(s3I%r@*y3X5y&P_B%akL%4C#jQ zzmQlSxfA8=H>~nH<6;=@jMxF~JttWMIGAA;zn;2ZsGN1;8X1raT4SGD-7eEst7v8e z@@}h%RXK6Jjvw{bpsOSUBwKA|z*HElN*p5A@Nzx)9b5%x)k*ymnrg903B@n)FR6p8 z*{dP~X&k+2)D^KQJ$o0Liq_}&eFhyb%eedc3#ClvFr=Gg^z}A-x>i=7oj27<4Qn*L zyr?3JGo<$_Ui1jmDu!As4Ol3!`-|>!@9a~_rW1^6Co4b_$z#ROv7<<`nF{75nlk!K zhO(_@nlF1b0c<8=xul?B<+k))5{1S~Rnm2YCNz|YM5^GU?6Z|)wmM}&;=7QliUI|} zwG2or&g&(N8Cq+(^>oHU`klysE4*98j;)<&km{XUf=6vbVReYVFug6yiN}^aZ7STB zbL$wW9{w>18tAT7ImqLLuA)ozGkPI?Onr7#?_D`vXU6xB4dw@W=90Z0bL z0<#Bh>weT_n}d?m807mG(yXS3t9LaP4~iy>ML?G3ie=BH)}1L<@ZMG zxY6t00P%4cDX=t7ngnI{=_^{zn_j~s@7Yjy=g(7x=AbZg>(+#JWKmXYGuW*FG)XRr zQ#^z1UAL)ZL^i!7xPiJnYYm@m|s~H-w|Xc>LtV$+wnCh)crrEiAEx zK!x7?^mq1XhF-hjZ@xsPz3`Jjfah6~3f55iTK&fv@Pa^)WJ_OP)=^0n`;Zr59#S>K+E>_~=gb-1&^q&Qv|aC*4N}h+bo%8z8lp69?d& zmvNi8`)Z9Rg;HPt39~xw>EHJGj2CWxL~4CS5q+B^*avjv3nU*;Ai@^P>7JEu(`ZY4 zD$T#DIdt0Z8h2X96{zXe{s-A^ao52kh8ng>`y2&eJ=?AQH40Rc^VD)hn!OA=7~e(> zU(|nhaJgERFxP9!EvtmunG#T_SXoKQKkax55m7OHmF;%(V3x|G0;;3G*2KXa-TsFZ zx(oDi8_g`C@vxD{z#1UhZb1O!kD?6NOvEPfn92jmrXDp`MOIFz5zfa6h`QD{CKl!z z;p;3!DViJU;EnIn&)WX`&x(Xkl6({-ZXNga*X&J+IMiXik+XJGLTT-i4la|qT%Y4- z_aNenn9!Emtc!~5uU%8#s?(E@xCst-d z<@`gH$`u9OktgmLCLFuP=vqqhU_)a~(01I45vm>kd==z#ry}xSm;uNoLj6?~X)PS; zKN~=bil?o@3ti@(>zVm^5nowEY`a1T^2v3G9=@O@H`n5>-lX%HApwcPksaAmX6Ii! zhB%8}w6~gaYlXBLS>7?GjQ2;JMHw0kV0+?AGWbhSpXJ+d7e4Kathy-sFuHCBDfAHE z)b*2Uc@^H0A$NYJ1W^!nNAQ?D*=Vz?!4_E6yiogns)5Kuj0Oc3M#t%r)W> zji8}!RxH;^z2v+E5eO#c6j(}XkSxK@&H=W^Vf^c88VN53)QoOE=CGS8$-cT;d}($P zxM!UI zlf=l3l@)&zCAXM)>{@<|${GcoUu%m~2uFVEXx#v`y#NftAtrzBHXS!GWvw(!3id&? zg8ZG6KAbBspTP3aZxlb_X)KCekuy2GVuqM|JeBXFGBGp+EPqcY@8xfJ)Z&I`@DsEZ zD}#^4$FzIeeH9L4v!t58KUTzpv7%`D>OhRPB>51853JC*AlFe2Z)JBP<6tuPfEUXv ze$u4^M2>4AAsqsAba~MCt0eb*Kd@zkmlRJIFm=bHo$`kKTx6@8puDgpvD#A0WX=El zvAFyPBlY8?&7UI4s;tLA1Vh&RC5Y^DzbQa^G&D@w=@RK*PV2(Xdj=_vWh)??CEVD% zs-DtqZnk zW;C@skiJRof@a-WwQqWxLbstww9-1H|Ju~Hd|xn?y}ZpkhcTdqJ22$Igxo2sGo(xb z?e&2nzi6K&sINP?^$DWZZo!#`;3{JIWyL3cCDB01&T{_j@h#*ioWNrXqr;PXF_ze{ zQa`Cg|16Fy_aw@_AIQZ~P7m}Y+L|;EI^zA5l^L6`3e*D36)Uw)0PJJ1SFt8lzMb#? zE`nu~@TcxqlFEJ)E*9q*4mEbKUrAektpEM4NGQn@UxnZM?6aF7rx_c^If*fsYxQ^j+W+|z zi(N2w*u|Q}$D$GUxcM)rrY3Dgs(Sx1ylLynH(RHlmZ9{S5*pisFaOCJl-0W3Chkfw zydtLeZDffgGp`P|H=Ls9Ja(i0j@U<)>%Io<`HXSJ*gP0Eem*k;MYt#?LN~= zWfxS75&_DICC1=aOF>8Ks_huv5D0HaUVmt2NqhGA@B-v8@J28hj4vM1YZ6)?FYLdX z$ChY0LE*lJe}|Pt`h|{Et%oT63$x6lioG|i-_zac_gKldjM1=?nBaQ0UCL)PQ-(0< z5Cb~J3S6D_Q+My0{k(lB^DXW=${z#e?z%%#dNw~^6IlH2O{&%g5o(Qp2bfm%*_@C? zx?)kq69T4d^js*i>m<%bz~f^d+7H}FgC&7$nucHoQzKzO9!v5{1lL^KOW%&wh}nfe zfS+TX_PjU!W*KEl)~J=ur>Dz8B$>f{y;Ec_VEBUMyVQSX839 zA2rN?)Z-kj6z1lkOcgw5%hU5^RvD7o&PS%YUh;N^>~4XCutJgLEoNjM{L-}3#=DF_ zG~zMsQ;wn0Beaq`qWMR&Ge<^sx#D~W8-X;u`8qeG_=oQcPN%d3yMBq!izqdGFPUR_ z(F)pLeIjC#P{weU0AlGFr_ljsEXLmGSDS~6*f`)M*4z~R40Id^xFBx1YP*q*%q8Y! zB{ZY2ro0V)>m)Y?rs7oJ4>%iTA;{zMyLW%Q4GI(z_X1ayk}En5l!pC8A&uv$-(-=6a1^zi0(?pce;;Q*B%f) z;tcJ%M#{8GBlY(BAJ0C0#-P2N(QoX*7+_J%hAm>8r*K7KU*@XL0ZA3Cix(bI89Y%@ zA~>|Y26~zm*dNdcMWqyw6lm0CGpf;FYI9H96(`o_tapGQ$(0TMHVVj=)8_o+)xi7 z8o=^28EOV^nXSLr?h`N(itNq%Dl3-OJ^chtXF29h`N&tCtS^Lm05y5B6VI(TKzZ+6 zUR4D!I#?N!g*dF>?h_~h_r!)_k93#*o;jBah}eVshMc!_?ZZtWy$cd9RnI}fw*mDFBR=@_>pYkHn)b*0_# z+KyRd$vG+m%Lk@CkuVK_gFn&VYMLn%%7vY5vAa9O#dVv;3+iu6KWC2agv7vDC#PQl z%q9Y01ipop@sO_$?8ouUd*yswLT zdGo{i1rqPtk@ik@V-$4)0`wPZ$ylFx5nyY-Lwy0Q{7nRc(7)Tr-c*=`c>7W=)}}P2 z66zgbQ1{cpY8)$ejAGo0uqMXzUDK??4kY9GLj&S;UXH@@>o)OXy-}-3F>_$C@#bE) zm}{ao*99G^kH!DdF(~-+H}-k{c^ zN!Cq59r|xpU_R+!XHOHk9pNaHm#}&6PK}7H9K(`EQPbwr0?h^&?X59~Snr!i*J_E4 zOr=(CT#;>4@B^~XNy11FGr8Rvh2naciZ7P@T~7rt`Uv8egnhJGK6OUQyi-gqCG~PX zHeYX(Vu5;F*RV@;1g|L#&4FTR%8I5ojVzVXrSUc3dHq6IXusmS_ubLk#s{>CW_Rgr zVky*DMu)`o_3;NrbsTq&$BRj+JE^SS6ZTmwaxP!Su_RS{ssck7N^B2k!iIIJvB3j_ zH9wz8ZpgM7O5O#D?j;A!e?)4zLM3awcvVr_;ZiI;5$jd^SO3OEYE{}+aC=ZKPN}7r z|9vi26g`z`?GXhE(NjB;X*R(9C~kI978xJ^IF3!(@4F{YrGx|o&y$|pOMIGeoe;N7jub)|t19ZGTCBj_0JvUFKNmb$7_4ur zrTILlfD?Ky+(9vNBCFW_9)y4{AMG$!4NO&OS`c%5wifJm=;#8xDNQ5)#Xr47wH`Z3 zRFR?AY7(e7bG0J@Jh)*=l>$Hv!P38uz@)}QN4Su2IoYu!@U%8b96g3jv$ITmeXQ_y zquM-`4|a)ymyROnIWCEb6(-dHyqz082zq++SCNmv-^#{zmbLh9U?~lbt}`%DIJ>C~ zB*NC&A3>?Vazj<|RxHny^DlOBA&BYGOpeofDEOhHDF)2kho;omv6-hj_#k` z2^=Umv^yURk9c|Nd>pB6M{g|KVYEIBsna zV5?Fo*DXUKrKT;cfz@APUDV0=wyFbuCn{m@sW^lcWUz@){yK=2lIY_5shlg&|KR(0 z-N3RvK?fxYkx4BJ<@7|8o-=o%awx3-p=r}}2b05rn)`(uGP(o0chb-CHabZPl80t% zIFd2b6FYC-gI_dYpffu|?dQkl2}3+G`I2<8weuc!G(277rhX_vWm~7f1r+7Sw5d0| z_7=_!+t-@=%#Ydw6UUHgI2Dzo-cBcyJynK)MM-!{=lTctL^Kp^1M~(Y{Zh_3e%oaw z)0M{TkT8xo@_}-lfhsaI{g{IyGm896di4_BaUX0`EHS+p;bq>m*FqS1T`1SC#P?UU zpd-P5n&ak`pqWuBpGOon_EPl#Ic~twIK&z&pG|prD|{Q*s*G-+t4)8zM)#Io|BRS- z(pxZXxpbEJUK^1htkAYZXZpe8nQrH}VhnXGvXcXEzIl;Yi6H{R^@KsL;0dLL)g4M2 zK`P7IA20uTB&u<7ENN{((w931S!ov@mtctG`?NCphz3e*^;*8p0q%b0#}ks2$E>B5Hxm!;(keF01*oS%A}>2`7Xe2P>h78K1$@nj0|-1% zu~rlI&-Z$s^Cws^7BiYO51Nh}qp6nE4i*~JF-~K!?PDX<;rvj)iU2FyMsDF>*Uab@ zUWRAVd5F5v+6YR~oqp}lk!_K2Z^0yKpi5c4)0}1n>NZk}v7hbqd2Mh6R^{t#Tv;Qp zCfeWjXg|H`-FPVq^g|Oc^B~fyGCA{wvgv)*Zdww3M9+*tqm?OH%rk1^{RAKWFj@4H z2X3eD;_1~bYO0Q9=KM9{V}INa(Vo@~E&rvW-IPRa_aLP&A<>QFnVHVsMg%0CJ!(g$ zqb=_HW`(r(b0<*uIdizlfcDQo_)|9QjHDrgO9w1<{-BRjT*N-!tMEBj39CPnUp0=I z+Ucf#8cA=P_`}q*1F=?u%EI_ne)=7bs94?^$uaecGbU)N}MMsJ;D%je0$LHcQS^aM3#-k0=IeR`i});1d|eJDL;{oOB=bXhPaR{Ozx z`vh#3Z;d!fJ_PwdKP2msX;J)gKmq zpcwkl&JBC9eLN?7UgY2sFMb4K!p1pazqlJ@i2hzXiy{Oj)kfKaZQhrSN~5>-D$?q1 zLs)(oZhABJWYe}R$pXk)*N+On_^YW9MX=L~c(SOfB-#;zshgq;pO88e-M)$+`BW$f<(3wb5jz)DUkbi`IiSpphw&3)^G&KA3+PTp4Ub3LA zEgbWLHnkp1g2NgbvEBLCml*gum3X$#(qQB2xHbP+8D`+eLp+H$uV+!|Lpydc196<8 z!dcl|(B5&R45$RSioTyQxjY{E?A&Z zQzEg^M#LaJSa6s@duDFJKK^E)9!)q!uaF>nU-^XV=XR-o+Z}e~KkFVI#LtHrhm8$b zd{($2^o)PgHg(Jog}kBsT)^Q-lsEUE=_{Q3{=PhtSl^MX0=ZD=CxXJNANIgq94!f)7vld|a z{z)u3w;N$Vri9Dx&(^=w$hE(w(s)oFCU;<@_0+toKVtSghw(D)vZSCv+f{7U1^87R z?{up8&0*+`8DK^9s;d{skYo1tM$Uyu<504piI)va5B#BY6&n+;0efb(Tv0#6v_KdE zxoeYeB>xKZPXZi)-Eu5ywhw{2_mX0e@s{0S+?Dmf>lp@$bBu$4|Rq9P8wX@qiy2gKWB+H1P!sl#@a9(9~}d`w)= zk(GZqSgu%q*8h?MK_xk}Nhg_+!v6vPDcXyQw7Iwhegt&A6bgnHWRPc44=b_8B#;-0 zL-2~VRP)LR)29#j#<-^+pC2!j&l{hfGeQF^1>6ZJw$wF`C;t3(YCiOWcVtr=Prr7-N}wbBhWQR1EO` zQY|Z2DulNZIYbDP>uul5P)zItXm$@U!h-?9n;>!|*xeLS4Lk|S9qQn~Un4;h&qo;z z`sw+%q4J?B>A{^dlmp7jUR^DKrXG>;P_0%54CV;-Qd{3a`m856Yx-;gsD-FpssdfRA$em{$nermU{pXA5HExt*r7_uVEGpm7|v7YWEkE;|?<^X*x4g}WQcRo~| zTC}N|G}*cWs|L{Z_U`M4uG^D$5Q$c|aaX^((MD&a3}69gv28J$TbaS#W(;Pu(50De z_KzJ>PB5CAV?%}kR_vhh9;>4f-5jy5f>e(;D4)S>zJb3rb+&{Zw9vG)uS?@UxNE6mLLil?(Fl5+s`(hM*S!Z8w9}`ldm?+ zjJW=HE%O`w>3GVrwsy7(U^EBt4h`0PN)sDv7y(p(ogWixZ6!|V_xLs6)zw!dB+o)m z%xoXm0J?aS?)}DKTT@o<#&7-Fr*0K*?_tez01Wu)V`T_;+dL`f5Os}1SSN#D)B&P5PpbKLnBGCh2_ z(F6`llMH>aW7Z$Ah}&q0Ym<#+uli~zlaIepHqFbz)fTA4L8R>az+J}Or!DeiHvj>x zi*rork^COK;IM)m!>w++@DW~-7AsI@Y0u(|A;~K>Q07gKfM2G2)ENLu$k@cy;UBGbJcv9Vy`2q_YLuLT@EbOZ3?PZ_}>~QpL4y7_HbiYW>UgF z_Zpw@j^xFNm*ABSFMK^MB86>sltEL9l~2rY=8Yu}-49=e#ncyIdfk%x@n>Ayh}s!r zDvGa}jRhD9RJR1o-Ke6T5kN^rw8F$WkH}g2_A}n=Ro~5c?JfZh;! z7JMk+w#2udc|}AhJXo6#OZK-w`cNo4dC@m5=u)o2bcSgSINcRPw_;vU!GlQhcTQ`U z#M6IRC=+Xy8E^gF)M<$wL^o`$l-*R-TMW0S0#E(VJ{y>xJ}=H4cq#$I1RCw>v%5)N zh#Wi)@n@3Pp*=C_;QhP%wF4&ll7rvQ6aCwWHMc?s97h=g_MxVG=C(bkTlBUBwj@5t zYf6o)XgFQ-_If8C!Z{}tHPbwNzzwtfpuX|;=E$t>TNYE#@N*7@YDQ3Bo7b5+$Q1u% zBPa1YPT7b)-3i0`ub^lR-_xN^qCxLi&QFq-SejB;FD7IjID~ZjJ}?qe^rB{xykN-$ zwwxG(QuhS}7J^{yiCDLJv}jbxACTxXKl-Sgrs_|l1lM-a2MUQ2(6JCf`)bW9bZYV! zpQm0zITH9M(+^1vC@Yc3XJHC6ErkE`9}ru6gNGAe~sU+_Y?zD*>#xD-~kLwtcLENEVq%_c8t5?mkWoE^<`%ti>??7Xyju ze=(34+1UQ4+QdY_$;|veMW_FVKw@BFWca@kr~f~J6w?Z-kbQwfC*$C@Hn2n3-qqCw z!!QnWOD8B?FK}-ln6&>Nh9u`NFuxDdW8yY z3$_7dQmFs7I@<;(5P%X@R*nw<9Go2+92}jAwuWtd2k|`(BSQ`S{1PnCAM{T&-U(Ez zy>Gmjc3a<_3V}gjVFD3eKoy#NKQE(! za0#gSM!?Q*vW0-{Ru8RKpg*b~(F7Evt4G7#zb2s8KWzw53u+R8)tum6zVR^+1g&4+ z4S3(==zD8Nf3*)cpx+NeTSHSYJDawjkD#8mAM6+cq}PJ0%b!rsps&v#7Q)8%i5t=y-rNb?>&tCp*B8g3~Wbp9$jLIg|s~MlTTn%-_bJ-f!I34lP%} zzpDzIq5p0#@=t6$I~%|{K83p<$pRXP`0waP6sGwDxesLz^%$1n(EWl}4q)tVZ_l2L z{>Bs*ko)sn_^+t<+R4+YQz>-X&+NNRP6_D{_TKp96s+Fq!3hAw-5t=I2gu+5@3qhl z@RJ%U)=vhtpAEskKX0ISd(jW*^}Fg9#%~6Uc)!o5LP#$Y4Z`5PY%GS;owHgGFZ*vU z=QnKPPe17I_0jL@;SW|~b!g!Mo^=TS^Dl055c2r^3H_kNoOfIQQy=t^33UIj(b$sZ;uLbHBjoz66u+`=J3ro6g@^;SbL%H_JYaB<=AfIa(_Svfn zK!cN$<5&ERNmC7uK5OWvg!oSZP#^59FD@BSTd>+s9s~W(+CQYKDg>TsOq$s7;SuPY zLtktG>-4i_nf@KA_^5AxYD4Z%U^cDk&-9DQ{W{*SyU#)Y^|5c62f%gBZy*h4=MPjr z@%bJ2R~@vPM@LuRbsnGjQ7QLtpC1hm;T*R4zcYtvK!$9ohqdjhNyWQ2+{Q*t&);79 z7?`|hJJ~+93yZtYy|YsP8+y??{83;(y=;XUN_l4*DcUnsrWG=AZWNp9`3ur4JYBYf zemo@c{S!GT5Rt{lM53%}eRum4NB7%708cMf$Bk^p*X4O%Sb1`^>+wA4w*H({6Wg1< zv-1A?)Pg)Iu*rO>k;`_Jc%ZS(HGRb)MD6CL#w0?1mA8-HsJgiCV5jvg+%+7;u|qyYm9L?;iodo!Vx8= zr&3}{zXf}H--x4jA(X(^wI7}L0N!hk@$Q3!^B4cVpx&ZVjl%fSr5_ZS?UF9ukPJde zWC|WJ1C6nC-#PH(K69+*P|Xg2Z-f!UKC#fZkBk^|mK_o`Ls({=rj&*-c(`BHqPe#E zv&^DjLciG@(kHj{H}&_eFW@77)%#DlB_`XB^yJd#9@R2idt*_2(qJBbT16weZWB6} zD;R8Zd}`dJ+PfwH7bT@~T+mkThF0ngbF!&aJ$p#eLjBUVXa{72s{_(_(f}Dde~(=E z&Bxy;{Vuu_x!b!C&G8$OwJFeLz*4nBUYl`Pj15osgOJ2f;qAkd`erL&G1(36Jf8r7_GB0YlW0qj7+Te}7V3I1`cNtAwm4%Eq``LGIx&U>X+B8nQ*I%{cs zJ>8pq@S5|&MFeLWQjH&f=?!b9opMXA7z1TM57OzJNieHC(DrL%{C7Aor?kply|LE} zN)JB_-8OLKuFoC}+{ZT`RnDvIO|^CAI7aAX*c5-FZcRhE;mA%e8JY4ic-=|{h-zp_ zWnR@IH<80pUH`LH1k*NBd4LRXRr#)vG|f6(P~LW5=Qh~`$HS{2nZpfU33A1u2B149 zJb%$!XlYQLcHQ^e60I2-)l4eIOnMtB9L)iAQAm?)tJL1B2tow+@yNyMTa*s^iNNd* zY?a5`N1hT9Z7Y1f?*!r$tR*BCk2F0g(a_NcNy#tXZhw{s`OPgY&Yw7!EaxBlfXn$J z;@reR(}63SS^}S-NM3vagQqLR2t}0WWJa zfq9jn^8C@g_8%Jo`_}~tktu!!oOt6UnHh}`l6ca1#%8T4EFSbv{!v!qhS;%c*yPDe zVqfgPX;04&9h#+eM&-T5xmrsX`?A+;DfLI-?i!6>NY@`jTOyubwiyRWS9l$jI&GiY z_$+}Q!<}_10q69Km>ib#{qEK(;B?UfS{0*a{r(L(zBbx|`C66Wq5%&z5BM%TtBpc0 zP-Z6R#ci&dD?cb249uN?2xRxtQt|+HIy;IPL47etJpOVCmE#lIy5P2#kOOImhLof~ z99F^+1f)I|=RO_mfzeEI`fkZ!4zXawnLVPK_FfZ<4h>`y_AA+Zu{9?kWGu#I^Z7p7 z#)y@_dICrP)1Y|?D4hk?6c?=+G)fN0GKh|@u8=tDSqBW$F20_J4 zXMP@oJ*#Vj7u;?SH6oRYiAJ$j99jD-q;k)d^8}omQrmljGKG3fky{i)qn=gTZ_pHQ zbnLUIW7Un7E{%k;z|t$6II%!ibRBvg{xaU_d zD3N=b1(tl>alW~qWmh-ut;cB!b;6?d-7_Fh)ttv02(5yH^r(|!2s1cD%uyyXv6p7j zA|P$VF|AUM8rJeCePF#BO)$xK$yhAUCO(-s0WkqG6!i04W@SvW`EMD`huwBqBns0y z6Sr=(^xbUMocarqeI6R_vj1K^HuLILC;_z*14q1vF=-V2f5WjT7Va>?Mt0c?5?3K4 z$XOUo!3UHs6j8pL`zXXhi_dB3p_HdJZ*Wjoe-_EDk4(^eZJ%oQM&|ueC&z77I3t$T zcS|o(Xn*Qc!H4Lev2d%!6&?;*nbVuoD<;4Z9jG}Fb@<1Lw%)K)~SUV+@<%?V~rE&Ko!cHbRe0P zI-~Zq9W5a$6c;E%5jo=T5SZI!YE^M1f=VgT1a&+c6Z(WKY<3GZ-nBe82(m@1owOuh z{m*wfKJSfQM7dB*Kc;22T6E+(+@$Pyh&KL9u_(?%dpZ^G3@j6K;$pMzwcNqeCO5Wj z3~#9MR5KKn8p?n_Qp6<#RN_UpN={eovIV~}d6c42edaXaL|`3t^gd~-W10i>pezQj?C^M|hosseJ=E&;;AuXomSeTNrW zr&2Zwg5(o32f4Y0efnNx^s}SEoeMLJ{#-lM(vrEO>LLwjh`so)YB6S_ZTB7f;=eQQ zPlB%5n78Lt0C0b}qQ1^O2V~4#A`+ZS&6RK|v|cb)1!CEktKhxv+Lg~}ZX50beHwm% z&CVH>U??Q?lxER3?)-w3Q&j)Mk)2R;W--iMeh8ZJxxDN6tpGxoM#3KK>Q-T99nWEt zir>93Cko?g)5~^`IJHZIscEH7>D5pAXtJZn_EQN!lW9gO=nrWrfs91+3)|3pS?ADsRItqVkNh{VEFj5}1_qo_yg_)mJR@%H5cJ1Fa-ELz+NgM(x zcyipJ|1MRi*^zeiucqGgdKN>|RmaDxz0RGeIR20?opE37#O!tlRlw*YHtb1wyVV3+ zK^38t>;f!&HAdboOT8YcQi{AKgxI6V*FNPW!-FPx8XJ6821|)`+g5pzaXPm#4j*^0b4%-$YpH&{_1n-Nd0*LG6#aFc= zl2_41bB1nzsk`a7=p>?l#UHzCrQ)gYUHv{klSudh`pcSirLQ@K2a=W4CdvM0D1wAU`xI)%#(P`P=g73WvAivBL<%8 zp?zgP49jOH3}UV7F#+nG0Xa%I8AhOACr-TIq%egdH5PX|SdC`Gd+ zR5_|M>RvzxQ|Djy_K}b6mhC~xgTsziTs*IbsZ2eP19;KOSDNhq=qd{bKCg@yQA4mT+3T(Ef>`EWwpGIil*Fj+sZ1YcsoqVNW(U)r*Y~~> zS`msO+HY5xp~?tML#q0>sRAnkYZy-$RnwG;igv3mxxLx=UlcKtAS}xM2*|1B6PyhIon%;W`!IxC zy9IfI^+mmGmt%-EiC$#C3cV=nkJA8U?9#qMa))Z6gFDR7&SfiM(+!1Ug{AUJ2)sY7 zuO3(lhjyWGumTGGa-tL6cuMwFb|_);CDPi`xpd#Vst^3u7n9FsVV4mHtvvj$sUEh(xs`p&CW#QxA^?r-4{52sCLa z48KQu=!88i{$I|bP6GgbF^6@D#ms3}_Cc%n`iGlE&&QIxlM?rCWW zsBfdEByvZ(@^=2G5XFq8tcciIwe&X=*|MvGAavZx&Z#|s95kSCCu34L0ua#p3>|2= zd$PYlWt^RVCaDk$1$K6?cLsGvZiH+DZ>h7F;;4jv0RJxo@VvHUe0F|jUk}tT-Ju+Z zTez9Eui1^7AG2+Xus~I9$zEQ7WRXRn!!6LxKGLF!}$vgC--?R)d@&hbf$C2{^%dv9?9a*eaR&Oz{`5|`HQ~|8T z59>FVG;Bd>+$i)nGMu3{vk#Z&5G4wGYrCK2`P=x*A8W%hC;Z!Lg${A{k+5e-@G73x zX-pmPGDDZ7Wn_79rLA`!hd1T>;brV`Euy%|pkiq^M$WtGHrdx~5i0?Erc)e*JaBbe zcKR}kWHudi{|su1-jErvgK{5jgZ&O=8?P@4&r3xGP>*tGJy87lbfx5eO@)`e5gK>L zM^6$8sj}CT{B}Pbj1G@wR0%4aYfih{0Pd~#!xLD{_LUWGe8V@b&a7G4i;kOzf30|I zeMXs{2JJi6!mmc&m*+eNN-TDVeZID> z*`p>ZG)*?b$tufwL+YrG$qH2nt9rEadSO zxzp}z{0XCS?(PxSl$bKT%LNw%e^ldx2}`+?4+_%+UZ6=@FAG{#+>~uQliMc6Ju+T{ zqwG!x9%U+j*}pRQzp*^z3_hvlbDS|U5d405f+~$e^B}35t&u6@$A<0N;Ww9AEXX@eg~Cp(HW0oDOkF|3A+hbsYJ4Blir+2+=+=i{9Qtb=h+VOcpGw4zt1gM zv>{EkImbBb;ykn>#YMb*rD2 z*#}eN;3Ffhs@WQ`Z0a$xMJCRdZZUP6vcjiRF@k+ShW39~<@DoFyHm)%No5!It5qc8 zUqc`kv<^01?^%6{F8X)G15hbrlPugf|V8p~9^bp{pUrj}WpP;L<4rA=3lr3|8c z9DXz5g)C-~A1|?w9Z8;0*lFF14|YKdc3ViMO%~l!FI`)`C$)gBE6ic;b$ClTyCbXt ze1~Sx`D6$Bd8_r71=kF>!F<@l4pXoQr8?{+wE&~tys4>;FX4_$(U0a^mu9M0D0v4N zdA-rBd;ogBY~I5ro_i^i7EjIS)!>vkTN{E92#q|-YFw1rRYIHWQ1ujgHeku+rq_%x zd%7(f7JNAFH^^KgddZe8X)1Ad7Ti%ajw%%0v6^9iHZw5&lQXmsRrGa*EAGtU8)JD4 zFlVj?+bGQ8GPIq$Hh(=d%H(GEhGS^EGu=$T$3KxYS+bvTucMvcu#%BI>Eg|;WZL|P zNfC$rr0CA}abQ<3jsAH*v4~b;YM7QVmWP?c{^&yu$l0U{gwZ2M9x^e-l#o&bO|s_5 zXmP|P1EBeNe?MfPxSJX4?g|VIw@P?UKY|#&@ivNAcPm6r+_(410g|%|m%VgCl`@kX z(r#5S_V7IVk5G<954JWUU9G|?uEQHPffQ5IH>`%r@oOqzx^JdEZcyw#+^5L(AqetG zb!53)|FL`+E^*QeCKVBgs3$o?j>?Ln1#$Qvn9v%jPc*`(yxn~z)_7E=QY{Bj5cnYp zu%eO`Diyn3wa5nrEHT!|OKf4iCNX2>alU)} zh@Yu8UBKqUzIMl*=`kl2$ZJ}(N{wA^zmlA>oFv9irH+#K1nr-PULlLMh6N3#qA(WzA+VWwcgmSW0690XQC}Ykfv*zz1RJNAGL!R0H`(Rpx+TO@HqDV~oyTeR zf0h^6H8@*|ayJofZt?A2;D6y6nDD#8>*8)lE-C&`l}0NlilIs)!YNivYvdn^T4G=1 zsE^B*l%JznqRK#@3%RqmO`}8>I6NJARfmyF!q1HFx#2QaDvmmur$e;pzf8fQ3PEi; z47?Cp_|n<6^TB+;HIv@gk+b71y>0|E zw9Q~(OXCH98ZObEs=lJ)7(o%j zglv~6F(yMW=<51~7%z9c|7A%Vs=Ne+b5~<`st<|m|B>__PTM>gAw=Q`B_Kz`zti8D z%N?HCmXW(}TMWy4t&6nuTIukrrk*@I5pgE49#Fe--VtogrQFUeY!7h*Wse>VfZQV-8pvl4W4UU8)cmsaqn(vJ`x|`L^O=7*1Pvo_s`) z8Gog2)T#&Oi2h-4d#HaLZf#B!)M8`t0&LG!>7LHEOT&dEkQBX&jiR}72&oz#dyTwv z*Kt;Om-W6bC&rU)z*eWM|D^dZeYj)M(uV&)9oFG{NLWxx2KCTvE#?DMyPDjEBMUOVi4Nz zAF?57#pxv2FLc|Yo{``7Y`}3-Y@tE6L(evCc?<_#(ejp85@H~dW&9y$(Wu+dOkAUC z;nLzIHEObw8zV``hJg36N#>J@68&AECK^_o3l388X`^0qBD5sdn-KpTu>e>i)AiqCYuz|v-0+u4PB=^5p! zOmsUk=xbJ8e~+$Bw8>*XTMMkJgoOa0FCY9O;|QX>P#I&apd7Q+J2RIB!AAQyfFs+`LloXCR=;i1KD~6+uL(ca=@)<`mab`{vWxu;{gzK z0fgQ1X4T&D;*^n48@bQM2jf#ul(p1x4x7iz{HDp|*b~TB2yvaO$gi#&)a<#HGY36Y z(qY(u;RTCy8-^d3S=Naq)r^$(+*oIv0_xY~aQTc^#OzQ+ybCjvQrVeS3IzkcW^c-DDhDw_s`6 z%tUM+sLT}ehxp|WFGPK2B6|EhDQYUGqi*^$Fa6w8l|!qaERf#JW?i1M2jN zI`ayuTwf$JY&dAsL>!mJDztmfg6h|Z4R~k;=fNB^a&)B5J6f!%f*y)@J-qvQj{1bm z3lFuIU$C$cSA*4hW{m&FRUxn21ktxmb1OI|j#fNo zICogpp;_V>`8A!iHmqcQ3@eeJHcQx_G@*$}GEa(_bnD%;PRMXpxUWLCkTKa0pbG{= zewMWi9c+>qG*Ut&{wI%e#m0y7rLxnN7cwNyN5yl{`pHl|5m(Z_MjDznrWoa?>nE1v zOTcOlG-p_My>?g(Bm?4}{}|&F4vU7xhEO5?gw>oHX;%X;_ru;mILD5~l2pB0SAvL) z`YBISi4B`u8clO>C(K`9KG6=3m3K%V#h3B8bvS%U%3@>3JF4!J3S)I8W80B$*h1sI zONi7{w=A9$8I-KGyPZ$eXAH(^7yZAlZ4^cVa|Jk%`l9v$9qIHk+Hb^c$(XxfpHX$n zbu5)34&kT)1mP0G8l_E%^NQ6Q7GsNij0`NbF@eMI+@Y<-uF@Fs4(|i#%gi))jxMAN zHNO=oh}NY3#m2P>Di-t@+Ul`;+=YqwU9z>QPm?yJKe;KB+*>Xpm0l8^!r>>=O zZKs1!bNf9S&{S!gs@o1kS-ySn_6)A)O36mWQwGC~%U>8Xe|^C8$)l&f?{BvN5u(C3 z4P!En(4(FmUqD|1swk##dn6bJa{oi?y_WafU6cy1jEOG(Q2O;MC5JIuIu@=z%&(ND zYbvtB@BP7;13)DpJt?9aY>21|@ybCb7Rt^7So-JEz(ni;j#sl)U_Mmm!RpcDu|u?W zTOM06*8Fy(CE@i!)X<7IS2F}%dN;#}N;Pu3E!<ZWkS(7U*EMHjL8%v3_5(o9*Ljg24a&m@1CIHG3hTV&$-+gl zS=`+y%Gr>x+0XMTcaqMHxswmFUL<+Dn3|Q4Z!g={=3>Ea#{g>wT}&c8vYh-Q+Tw|Y*NU4gw7MhmnW%Q{F5M0T0$E~Bqbg#`~)qmy5B_^4LJ z$&>ccZkVvjT}?QJS-Dxrdn*a@>)iKwvLh^rK*i(8Da3V*8HiOwHMP4}8dI|@(i-OX zrKS(lh_soS;Bp_i5ia_4)EMr%PajUjhSBf7q(Me7Lh7zgk!D&0yWI>4 zXId9PoG!u?RIPu6Qy%)rDW%L)Bwbl)j{Hz&PbmHZ z+waiwpDiTm&T(RQ`}#>$)Aob1t58AK*6W4mp`_mV$@OE7tGcx*FsXy2HS%fHtah2n z9KXXu&R65z{aFUz?at+D&p2E*UsPoH@e%8QG$uDe>9>>fksApVz7L6u&{PqCg5S}1 z{ZYBu=#4SLhVih=7(Qyx&u7#OPTj<+uRODj-UL|`6I0bW{9&ScBk$hei$nL1W8EYP zaOFkFwpb`fETox-%r^>lO0dp|8V(PTtBf}>0(l5r@psd#=b_^R+95_NgOOD!I_eW9 zd0C8bR9NhwM*57xpgG2J1fA~RW@u@?rP$$ygY@->8YNN}j`X8XL`qtwu$<7Dk@Y<)fbaPs_@?yQ@4J;b-D=uIX>TM zVPPM2b^A`RRoln)#R;^k9bPzxQtn?b3ly%;w)1}ZbC?Z&9hJ0`Ei_5;S}XM7IfnCv7Qk9odIIsLIO+?v@V)gi;zZH;xB^&K zP>unrFvg8s6=n-DW~|T2f=XTxB08-G*Y%}?Sk<7L-alZidcoEI0gz<*p8!b~CeHs= zg=8XNV`ll^xa9v0NU}3Cvi<*jB*Fg!An6XSlC-tR2DDgAOu$Rf^G4P4wp$V$FF-&d zA#~Rhu5tkp=&T^%nMT(G8Au_KM5ihM@=-YEbjSPlxAxha(vs-*pYZfLgLm%QBqU~| zKV5zS@c=+gxL?s*=sR!`z*N^*no!U`KOdqmKc4{*I|~v86!7DDy9NJ(V~zJ2yXr{7%*4_K~Ku~}~06p9htgFBc1kez`7z6@J z?Bkah1?j2?)7f9?Pv z_&wwo^)~LUPC((^&YS=u*xm_z(5Jwj8~_9g82EX``CXBZLJt7p_B{$Bm=MvzFCQHN z3YaS&vQHTtXc+|-P{29F?@~CBC;yg$gdYj&OSP!Kj^W%`Ergqjcze6w9wl*K-Iq!M zo&mz=win1R^9oAbedy;;PcYwJe7uechj#~5=3rht*FZLfABRQc-fkK)8Ymo6Dk3Th z60koGzyLqKslN_Z#(QU=FQ(7X88+be7QzhxL>G($0Sd5)AL2VffSv*1d` zUmZk92tb?y0@NOWTkrtnFE%!GAii(){MSps2VgbO;sX*8#INV~#Uw~Aofru2>4*Kt zEefE;I9FAC!b-DAEw!nSMi*3UNqkv@OMwe>0ZC63feW;v-77-6&X}S zK8R==vc`gsP|;U#q0gQ|3HB1$mBqhMVAikVlz#hpt$QHfz8(+=^2cQ;zXt^LZz>dz zDW3WhO|Y=!V+%xVZl3>5H6#cjwl7pxLk0)n`5xdCDc>tbF9Ps8WM26K;`M{p%pZUs zCqSqRV4f8WhyVgUP(LOG1j0Wbj{svG_cY?$^fN{(0tmzaqDKB6% zYL)|K_?Blk}EGM4z`(kNpJKz^4 z;YjFa*Xkin5rxI=r#byeObN`ABFU*GGalnw%E)~3#8Q%mWyDtTT3X{3Nt)jb3VbsW zT$Bep`#D+o?i@UZ&2yD-_|)XdGd1?&X4Ofi7aC#bpIn5?Y0ZRzs!^5eFbDQ5PdQq^ z$(xZfBarv#qLwO3ka6NE)uXk=~(9y`nE(tDtsSXLL&7@;8ZeVFrX`0OW=j za*k}Y46nEJg~UiarjilVTHOgu9iK`>9A6sjzf{>I7(^JYY@Y5CqxLijtuEKG`m-;a zEfVlJVL(G3?VE2oYV$88UO3uh3#4WT_6sZN2}iDH1tVKZ{fjcodR$S>`62J|Bz(v{ zHhFBgM_QCCqiTZ&`L5ESwQId-CT9&;l6mvqjP0wNeTl8-1&el`A--aJ47D^olL(4X zRW`E77Aili{Akt|Jb=sQJhmf`=V&1;`95SQ&Ah4b+OWj_P=uX;$k2I@`Loa zWF$?m3hY6;lQ>xnW3+0aXW7JT2#yT|G9K`=G5b&!r0SvL7JdcPTdgKO+gReNE)_R^ zo~x2Skp(Sj`h3=NaIP^bIOU}815<*>hbI4!-gj+%Q4#f?d(&D#K1%4#w2N zsS8`u7k90dacRCEQ{Ze!R0=smbY*>_RcL{iy+N};dHZf zGcV~F(T%Ekcxl(>EhMuBxxWT}ovDz7}dg|md7;Dk&3i)2j#~nA_Vd*(Gnkn zUq8Off_I=9qQOrBWVa`mx3|nIpt(cG7lPZ;j5PZCn_$?gJ`EN2QCj3a;IuLG zwe$Fyat5p2$bX)vFL5(od(q>*n+0amrj4CqaZKR6s2q3d`R(G;lxDZ-d~+}G8egw7 z3^! zu`2l8GB!09-<%MvKSoov1^o*8+TDpvUJb3~JI%~?Reym_acyQ9EMAhxufeo0G4ILP zh;g05GsWGnHFs#ORY~oq)kfWycsa|9PIw^tovY=#AOL~cUMiPZsZg9oWadSYi1x2h zk^Db*I?((lR;ox?+qskIGO{Y9)U{|N zLC-ut^*>cg8xwQ7`^TAnAv`6F!2<8Yu4XM`_IIn(lt9>UMWz-sIqHXS;_TBY+M9H0 zMRgy|%b&ayD5p~Y<=RkV1jOv4)X{qWQ3-gF1#D2^pXt?LN3@i+# z@jS^h51Bkz(q0!`IH1_Bp)jdAglFlbI_=l%g+l)i5s^^;80GB!sF-mnI{YH>Vuox75H%zURg13MED(IO$1>2Gr z!bK2SmGYc$zL%4aG}eZI`|=~Z)7mW;|IKs6B4c1$HTqauB=(%UkFYK!6ngmkRIkSs zSGpEN$JQ1l-qkRK{cG|2U6TlDH?91mwM29f8-C5J!` zZ-LBIb^Z$~KXj?x#Fdf9c<5y8+2fx)bnAm?ewMz(wV3!FX6`YwVo-L522E< z4|S9tam?hD=kQRAW2V8PCU8vpRkq|0m+r%~!Ngs2yR9(W)q6M9vHclHQ`Xb@Y_-@n zpu25YGUH789dyERSL}kVecP{>y&!)woShMrG@Yyj@ zM29D#*&zOm%*r!gB2dYf$ayCFrIhbCgFW+%+PGeD%=Xkol_8SB7Bcr;vzs8^v(^}e4x!5rZo1O5)3R9xjLGXC>l_8`v&_+}3inUdNYRc4F7zhZQwLFf+rd7v zsEMY}l1BkoFRZ#Zy4A^18!Iy2=l}*d`0awb6lW%eB9tmo|Opv1E@RV z7|TxAOST~|!O5LD#<6wm+rTe=_5UP=eiXt8U3hKFMv-~&f3Ax zeCbLV7~sV(Oy%2eY^3(&0618(>8$@1Jvdg9pMsxVQKB*rzPQ76Y09byi!t)fE4`+Cj;_o=ZE!+gdyXFo5GFv_YLR z2q)u^aA0^}W{n&@dY1W}pMJQkT{P2!Y*nxm)M2qYe$Zy%!c;ka9{`R2`(k}4w^J?o zrQEn%T`ZdOU}{ve6Le&wjcT#c;xbXd@;J~GH#5jPE$)^kQ$!E!$gp^K8&(T30dcS(_ItA9Q z0u_}&2_GVP?43m_!zoc`JDy%f4tu(Xra)a(gl&b1NFyVTc~aXvYWUbR?-c}@V`fGWu?9(Y~59PMBAM?T2&`o^#a`~=6pV<{n zyT=QnNH?-~U}&w;yJ$Wes-jI4(&vcbW@BWr#l1*b5fI=rq@ZL!@lbVsylyPd^;@gb-q1}dW`>{Lr;)0mnl^Ta!y z3iBj8{`;Qsl_wG)zL6bDx~uaudQ6Ufk&6}dw~_u}{Uo+7DyvNqC&eqhco23hbl;8! z8GV2D`B2`0feEQ>Q~IM;Spy82({>W--S+k6 zq#=0`n&W1u?_+e8S7DPEIwCt4j{XRY+;{#$*ZSjRW6k*EUC(V!mQ$@$YR3SLn6CbJ z2nf!I6^$!GDU}C3n~r))D8lP}>B4On@7uaeAx@p`uXS$c05j64=)9CgYty9+v=pz? zv&qZLNh{(`ZP^GPh(H!}9krxZO5?0DYMi+2Zv+q5)Pw)Z9M!(LR_~xVp?CInP&>`Y-y1(Bc+;*Y2f>}Vb(+GYV&vtQ zZch3*4))5r{EZNd9iaSTy!lx%ZoFvyN%ZKhMF*4E8UER=DtBcy)o6O}yJtF8t>$0* z`hwzGliUA&&C~?ru{y7ooFUd!s*fr&o;-XCx_tFwvOe~UYo&fh?}0CgFD=))n{$SD zPA6_Ss3HP7#cV{V%@@PmP0vjj*4v!{SkFDpnp-0tOp~$nYD{+U9_?=P%Md#*9kc4h zMWi>8fTBd03YK4&IA>Lp@Jre|9rgN-IS1dIprM;<6hH4Z`YwER8MMlcj{Gr0%noYOi#GT}_S1&}Fwi!301$bSPe zpG)sm^V+Y4=XR#51)Q|8uU9GZ)vp0!?;IqC?f8NCsUh(-mylgTPyyr+^0bf%<^#pt zFJpSt+`450n^C8~9i7b5M2~mKdC6CJTi$7GWeFY9rz6QIl9?V`MyjW-!AQzbzSb3> zqC|ZIk)_ezd`*&yF#dmX$ca|)SJTU8KdSDL*U6&WbKGl`MlW`ULOepwijKXFD~j9y z3g9L+as*pNaLawUMB_GrFvO2U{UkS6CThaU&YnJmpScRsyAD9XDz1?zP#{k;$~yC+ zTa(Vik}AlfnPhWyXtrFK((q%J7QMQ;#Ng_BDZy7J+$=-dAdAZz!m}RNASP`N4RRm7 zm)Wu-5Q+^kb1SkFcVKyiZ0T>pz6hGF)ppex;ym>uuKW(+y|d8+H*q1+h`DK4v~elx zjw*<#Z7V%LP9p`0YG+X}Q-7>#z5CsqjN9o^*GTyFG_R$0hAdZ5%HmY4lUW(Lh;w=K z$r=i%;pi!Dk~~K~yG`^);S>c~V{6w&naZG9D_Oj4Fa@0da~Sb&&NX1RU9b=1u=b%> z@aM^3ABj!l{}COW5cVdxkiVFa3Ec%u{m{Gfa}Kh7ZHDOmr<&_afHWcEjP@?a{)S;0 zqvVB`t4`sMiqJNJD4N) zz`DX#a^j^Rf0kq)E&DPi2s}(TIBB~&l1KeQdMu+`c`x3Cy)aKRMuBUdAsMJtx!iVycj@e?I-h-fB3DN8n^LZ=DZNSM3no{xe1iB^ z_PGNiUZMuC&t&4lRZ2C|3ypub&)}Z*Kt*g~l|iwONA=hXy=@UUq0?U89UJZ6QsXJW z!>nv?4j_vDfUC2AcyZ zT$t|r+LjG>iYxaZd@0@NBDj0K;-V6TGE12+nG$XPnE-GDR5Zi0!C{4l8@`Ovy39MZk$Hz~V$y@2E1OinH;F?7skM zQ58u$0BOsgw52*pCyWEY($2u4Wt$&6d$o^f z@NA;01ir5kED!kQ=84tSqBFa=8}77S%Lb&pZuE$Q(Jqz~>uGaa(OijW)>@aiqKZ>* zjaKWQqPE@9CN6?%A+FRHA${u&1Kz=|HCrY%RfbqiFG&(t$KVlHc?^0b1j_S-?t)NuSdgfrMG06zta;YSqG~V=bQiJpn!Lo z=C*DQ)ckPRea1ef??DbynrF@TBpn%(bP8@9Dh6d9dXfH6zT504{DIAEs4q+7Zd775;;zK4>^3?iW zU3IcJno87q9QW3)SK=GfLzO;!PT^kobrIU#YGgM`3uen>B^R-w$M#&5?pp#<(~V-D z)oy`7u16uuy>`r-i4>9Ust543muK#>>PzfUdyhmU_g&izmU^8yh&u1iYX`rYv$R(@ z{Cg3}nrhbd3M++I)f%Bi35U77=6=P2eu>vM`c56SL+LIZQ^%LG`XTf`?6jrSY3_Z0 zO6M!_m>?fz_j7?u8t$4d?6mh<8@u6d98vZ@&2OYgn2u0>FPtek4_ncv8 zYX<;QW*wpl_<=9-$AO{o^k)!qUQP}`5Q{a{BD)fm&>cma)-}uR_XARqYe3Y zE7}s5OdhSiS{_`q_28zypfqBK4l?p&q-{z|@2-Vc-rBmvU5$2lCv{vPvrz0&Jo~Th za-)h(2R*}#6-UqXKi4sY-a1-AwqwBPQ_*%+>bH???@7j0K+D2)@1JRx38ZUcIV~P; zS6h>Pr@p%{5RBh5U!UtoF~oB37X82^3JYF_UJ3^YbfGk1jgHFZU%krR+6d^3o~&-N zrCh+%++eNAcx1_{q+{~;JEzbqL9tlAbVzt*|8)}ZtkAHNpgjHBP(e$k6?j}d+5cSG zDNht`fBN!keF)Bw)8&_?q+Y8};h&w6Qy{&$nz)gU=V|RuRq}Vad$kW$QGnsE>ZnCY zBaf%_VZ}^o5jFx6eO$e8d?N<{y6whF8tLPzf@!*AUm;ZyrendWiDzUV2l6%kLNH~z zZt^AGYVx&zLmqc;5_y!>Y~WNtlQLL@=S&a1M;ztMh0O9Lv>a@z zarPRv=JAYD^^A$9Uqoo{2v2Sl#s?OV$+2-aM&Pd=uR*ymN%3x0OzaJY{A}cSnhi9aPl@i z?ab{bi#TvVBR!)i5+KjA-JA9{E~To#*R-X?f5KE-q#ZUwX-95o1WGKQitVFJyX}5- znA|`(I`foicf59ppu|2i?+qu6lwa@?em@GrDlA_@X}k24Wj-zb>Xl;ifr1OuXD)y$ z*Co$y^dgJ*ktcrOc;2~XnF~vSGFwyXsw`IFu}%$X;k$Ui=RxUorhxf)+1(uQATQ<4kC6>R}+Tv-#8RL%YuZ zbJ`ol9Q^V$tOQS;=L*^XNjP(~foioXG~ot>+J;?&IY(G3g2@*e&o(-a|QCMH)mgqlx4#po(BS6}lr1`_<)yDxmeR&gVo+zcAU$h>^b zq?l8l@Og;qaj1M6(<;P9E>WO5^B@C}bJI4$uA`-^f(l&VxRK7r!4o}1quB;^(?az) zi*4ab#1AxR1r@^R{#fNnr!PX1&IdL0d#sf7GNXMT@(+z`$ zzRRCvyyjS{+nB6cP*_{t-%u!nSPgv*>JuuW6d7s~9hr=@N}I6=SH8JnJgwY3p4)Lr zRXcwc_6uJFLF@ECtU}rThgB#OGYixIS%q?Nax(m{xBq(;%E-XX%KHE6ifIE?LB7Uj zg9}e4*dY)W$c(YQxD^92i3TKQ!`Rs&4G(rEhFv7WjRacMT`Vb1{FL3Be|!7Od-4f$ zGFa1{!(IE~2?|lvj?*56GK5qW>DTS>@DMNp;Dq(@(c=Sv7@h+LdN^pPKOfS;&Ho#J z(10nBK*s>0dkqW0L4tA>oj4w-r4WV%!O6co1=v3UzlVx=fQkwb0N_KAc*Yk7QGy|W zcMa17WN`Q7@q~b_wU#QaxS1#DCS;< zx^FV^CtFASbhG4frvdQMPM^|;;tg)80>^*^%?E*FfIztobaV*P7EAzGz5w7wb@5L{ zM)^%^_|yl}@$bt5-ak3`Vc*`{%QfEZkWgn0`bumM0lhQ#ePkd(3B2q=zrQ=!974pi&-06Cg|RjLX@a3=SJC&bPoFb_^x=TA3Qn%)fgbC1&}U>g^7LR=8}SG`GqbzjSKbw!V6M(J_7ak z{r&!$fEi(+2H76CYWt`|1WqwIc9v=8_@n#KFR7*(MBX2of!;qoLI;3&gail{0R{B^ ziz$Q+{mB76Z!3e^#sWn6q1IIS?<}qV*n!pm^x$3l|BWt+u-Y z_>q0lr~UEA{zX6fm3;h}6`!B(-%HQErVadw!@CA^y8qY&9M)t}TYHPpcv*VP}`(a55JSJ$Oo29t@8Ho%(&0}6EPNq_((|Di)Z z0s2q&A@T#1Khh%!687v#001oi#V9bw{E8M*fc&CA6v+W_a}}(=JVm~*ft|p+2J{;s zU?3fyzH7%ewtk~O6k5PGgAo5Ayv~_fd$$N$Ufjl`1i|46dm0?`o^MLynu_~LjK?au6UmN$sv4JxVZ}7 z4BMfrtkw8+NqilTaRGx1e1XwND-nd=%ljRRIpBSd)MCRKr0cKQgzQcYa4 zW2Guaz8nesGZzEu_LKhOCInQurC=IB=<}lUw+c%N%9^^XR)BSI6>b-*q4}c-MkoC# zis&w1_UnQuRB{gB8vHfiE}w1Z@Yl8evlq_!1AlN=M6>O?2#Wl;s&EM)i1%BKva0yP zM{r3W!#@^Xx%&VYLA`z922HMFNBK}^{&SKP*p4s1ZU5~YQ)D0Ke_v~Y3GoynbZ=6I z2zM{n)wS62h=HjAe;Z}U0}%Xkc&3b9=mcu{N|me69v*@86P%8gIU6>c9)X&`)4zx# z^D>5t@A+u7^NhwAvG&=r`8UN%w--l}nC3-yY3rS3g^Npu_H9p%9Z5g)UkXF6WNd@7 zBRh_bjM*)j1dm1Cr>glUaAvc8E+~!>2R%rZlxQ$64)Ifr>`6Aox@P)aqzkHPs^R&5 zfZ1~-C+fNP%{wsf^K7zx-mvPTxvL9A&Z;b<3x27!E5u@%h7V{|bF~Y#yf(KHG_N%T ztU2PM z=7R#(*V>3z0A>H;bVCAX0!ob|)*e8~S7Jg!# z9BE;t)1gXG#eeyZ&fURnu&QH;gKac{4b8IP1NNrrJ~nyns)T%a7>zJ zo)uGcl_jxQ`Kdz=v66L9j_h@$gp-W^M2D)OMa*g4$lZG4OI9rcu|R(tdfDMB2u>;M z8*Ryw20MS5oDaT_#t_L+^=MVhtyVM-By@`};-xpaJr+_%T^mWKEQQ%)3Tsh`$@eXV zNd}BtmF|&y)4^%;FoH*G{XrX52K+Q8 z$Q#7s+K})R{?9brbgp`@FGGz&ox~5Xk@&)7B1;%GtzWO!_EHD`%e7LAzXmeA|CwRm zA6G__w&jm+5s1f%Xt5gAec@ikow98_3{PSnlHXNQ%WyD?Fn4sTIF5|6QaIHoP-9U58{vCm&)vQ}1$V`QRna6#ZZA;GqH*@5T~b$k{NKDguw2-&JioPJDJ zTJmr(6_BSK@&A$bj=`Npf465kw%uXJwtum0+qP}ncG9ucv2EM7t;sWU@6@e&{#A3Q z?#pw|+w-Zl_jj+o*7iWyc(YO}1wP9%7iBl6(??Q4m&bWXC@_roDPC*dAmM%io7^U~ z=j}oB=&RhZya|rTil^pN^+YKaG8{0(Lv7OqrZNdm?=s*Sy>0^o z^t@!2d=t1Q%U(Ms6Gw)qJ8eDbIDoj_tyOg%3}i}TNoG{rsAiwgEMyypG5b)%)$+j} zFLn0(%@aU^oP*|g$dGPkQ7%~Y_d_3#>KytiXg;E>kL=5phoe|BF)9;)2I@5_j%9fZ zZ~i^>UL0Mr=^4i__*o_S=j%Mm_UfnM_IlxVX9X{%u`!YDIt0!pOj5|XK&M;pCwXq2 znSm0y5Zx(w_Ys{A$dpv2)0=__f{{!~?|{E?Ek4Efb4jyCB#|z#lg(Em&{F&n^2;mb zGs^SEyU)stw)6OulkA8~Qt>R!y!}^-?C!JUC=FVok7ag`JHvv`m8emXmPm2A$BIhrGB|5>tS5K2&e@ol3BwWe%J zs#dI2e#^v#$bss1D_e8YDI*fq&!!dm`{X7)tAZH!5ru!52rxym%tyAyqM|mQT;#DR zhNRRONf`5S5=*1aAi-MEXv<8=@4F zf`L5!h(0L|{=p9z@;?OS1}#B%hMw0ePhGOVx&&zs_=M;(&AO^-23-bg+ld*M_i3{! z#P{gc=U>sU`qU4nl9IIix6z+H4hZ=7>PUsL*13aiT^uds1jHC?W+}p?7~b0q{ORSI z_WWXLKtZk{U3^>)H@)(hH}=`ZX@G*7U#6!{AtxJKqD@bx)$VuQ%sP;UbbKiPWgV4O zjs}>SrsZ@PE{+{xnZ8w2}zvrDpri=nXl4a8q&9dV1lK z``M-W4ADj7y=2*;bnfFicXi?rPZG#DOaF4za#TM|ZctMHQ@XPm9bApyt9S0j+j-RZ z>yR3-IhVfhk#Bo^MXTL>4COkM;we#Wzc757A*nl;+*(lU5)Q9NUnfuTJ~4T+Bm?A} z0LtS1d|ExFUvE>VJ&oYB8#KS(t4(!im7k_NTgEgreC}AJrCAA+tD!6KFcWIL{GilL z?a=FNVpUjMeH~VVL>P~(qGmgFBJ-|`;-|>?W*D}l&eLw!g|bQFo`W@MO26PMYIYGr zmpO&{&5iCRrT(ugsM{TRYTu(n$xVi6LY2dANb1zZ){Cv%o$a{^f}OeGNJRqyMnE@q zm*e#ig6MB*V~WD0?o+GgRoy$IwMC8WL(kpSak%N2A$k>lcP13QjR_~jq!kI-D_$pl*tzQzGrRTT&@ocxMGyj8Z;tcGgJ|Y{W|-76J9sn46Y#6iF5m-nW3xKD$n{;#V5Ef zy&rbsGwVJN*mWa-n`$VatFEHT$i9R+uiPO4@LZ>_fr zk*yb=HSSDNb(JhC?SeBi!SU7L5!*|lPqw4Rs08_(9CYl@6?<-{ z&s@qziSONKArzv%ZYdrm_O0AtU9nYUZiv!NL)in*{nT14>p@JpH7m5h`8cskB(bq& zZ3lI57nMNr!T4i?l&Eh?y$K}Bu6k=*j*J=E+-{7dTx|uZSGeEl zEMBK4P@lBz=d41E%7)3LT83GdgVKNpvOebV+f{$z&Q7uYhmhAdA=yTSk@4?o*@vWF zlJamY%C261v9s)0d;CQ1IXY}sZA&#Nfn`X+!%=TWV@n2W23+@10IyOVV$Ae#4i9s7 zl$n*pc$ED;&)^<1C~$>!E@HKsGxxeE-M_G`xxkifkW{)u(8CoV+H0=nAbo7RzT{No>xNHujH~E zWKJ9l2bGk}DlHG|Ty>~nhVDkh-E{jtDUR6%S6**SRz=pf!L#E*(VMwm{>+D@LX6`} z*Oon_@=|n~Znv`5Qv8O(fIWdpXRIQY_(2W%S&_lWXGSL{9$mN<3{nk06vZV0al>@? zKOrdRA@Flen~jgm9fKE2P23;1eH5@;GuB28*RZ<}-UvvQo2WBKLQiQ8GkkVpXSNvU zL<)u(VHH>)023pUp>>f1sfg?or>BiF6kRl@<;1%&R?4if4B?Z7if|>~H5ZAkfeUgv zC#5U{D%FIE-K^WV*;}~{^+M3cWcOyX+wR02%~uwz|boWcPp9O|e`N5(ts+yc@Yy^8`IRxWX&pok z(mduUpDV;ONa6vEuOOFYN1%v`v{u&3v^}yB$_Zwls`ed^zIPiJI_L@sA(1;0FXe{# zUoQ*&*8ng$CWvQAV8H_!Usu$STqukpgXTN&~IDn=mP7o?5t=-t#V}M=rU~A*#)cRP2i9m zd^Cq~BXLIpRxKbx1)HaTm9b0}7(ib52D*K~3f^tX(dE;2F`#spB>n1FbaE3%!23&H z>+i?y)k*!==gdoL@ab5f;eam4*#2K31Zvfkwi@%cIZ-cF!}q@6>%nn|O-_&XIBGS9 z&;1b3I-XrIZ(3PDxf515w4w#5eBWo8A%*wFtV_+AxBF)GZO`jtzSW>PZ1dA3sE;TZ zXBIlTzX-cdNlmfhBi-N&l;|pk)sHw^kF{woQGb;GmSGtvT=yZy8k-l-%$eK6z-@S$ zuuVfKX+fVcTPI(3uua>lcd`N1h4DXkc9$;4LH~WeguU(|ocJ*->1fy# zA^7o2kqa6HY?<|LBaJ?Glnuk{eebEv^%;KuEKl77ve2_5^+kEnP2A@%e{M?7FFGvi znCq@1FEDQ^J}RYP6I|<_q5%g{r>+E)POehw$%JDV>*YX_9rQs9^Db7K-!(TBccfa% zaac5iK_-}=S2T)`<>#zsfgFaQt5s0H_G=}VF2T_IHX)4NfdwHz79NBDz`eXtW z0X|i&_~wq~Ic=N=y_`idUeVE>p)6iR%u@(QRKuwS^tx(+L-3zINOYIp9Vz;=mQX&=Ns)jX?s9<;)|VWD8~U5x3!w?5XdPS^ zp<7TDD}3G@Z~Y+u>yT97A<)@n227OQ4U;x=P{-puL+d8=No>EuJa6)2v7Z1KPJ$)( zU$r8cFlXiMs5K|raW+)-g>+{Kz7AX+Prdv{B#MVHlb~uZZL|Y3*>ny7Mmb^~`odSQ z`a9f`N0)IfK)IPnN9QW1DK=i#jVeSd*mR#|Fu0DBx$HK4zdfUfJ~0fqza#8`e1-OK z`FGpV8TouNij(iqC52 z3L+cX(z~h2s!K6hUAn4+%K_Qqz$Il&L$wh{SEO#rCF#FzH8^37te>h;>S*5RK-F%P z$p)L7p})jBU+fvSkzk49*REUL7q0AsNj|vA;#C(R{EX#i-j1sA+Z%;ess{Za^B@y( z+kxk#7(sTY)x8Ym9c6y8T^{64B>vB7dWEC+(N~x%JZPU0aJ24h6Iaw>W#lPMUD%Qd zIfl|OTMIL@3mkQwqE4-0W+IJs3e6eSg`2H#*C~d>IVU@iVtX8U1S%CBWR^H%Ltlg7 zADmc^o4iX}01hFM5oXi!+li*%g*-Eg4b**s%iLPsH#bN;P;~Bndzute2cQk}y@oYC#QY~d#1=tl;r_`sG+Bu2phpaXGOY2QVOqu!w zptG}A6JufL4Aq8R!z*==q{KFn$>q}RdLED9PRpy z|J6J|&%RT$sKnMtAf8>!=`^(@^C}##STPCBd*Fi}A=xbwcLS;8#(&gOCfxp{m}oN> z7v{duI=!lgUVYis(yXbG_jq1wOOZgt%k0h?$bEy)1rbm~%%xpK3wCtUU9!6P;hQ{s za7aR~rC)2EE^QwA9i&)e61t7Kl^573Zm^EIkr(D^9f`A148s)f@K5Il<_mXIu6fBy zO(P0EpAe6R0Qr-iWtPcMQ|j+Gv#HbfMGnhaih8Lu07Q*H#zm3tLG<99%3|C>oTUv2(81a!RB`D0{VC3%&zeA~Wb~IAqZ+3q& zh@8o{fmpm5n8EtVVxc(5O3^>}oM3E?6;gIjpoB=|c;AhLGVrH-NKxutbJ@(7)1Saj z7_4#`g;?xu@`+={fHuC%WcM8Wu2wqNiet?3!XFsYI}!tSet&!kvsqCChS)kJTi@V( zNK|0mFK9qcp)!l{ts+0ibSMv;o+Kuj)h4YUl!Jz-7P<&#x|j%DFu&39|8VOt>6`DO zL}ZKb#d1rxsP;&FNF^A5Lp|Daq%-M=P&Tz@p~L#kUM12~yCh7ld>CA#H-u^=b%K*t zqenYqpuxf(s-j=^85ZKcrhr7B(#(aQND0;KQ>nvMt?(R5^xv-xSF)% zN8#h_iK#8__OP%ctn&}|QDI4^tRev)k-v^c$Voi|Y;rY9qNlp18!=B_@7|;$SV+ci zr&8h8@2V59A3|qCuA{iq8Xf-LNT@SJ?IMgl#NtOLsG$q`X+edWv*=7dy#KnhhV_e^ zKI}7`ok00@k^43R)ipiym&sYO1B04)W|R!M?H$V#Pasqq)9rm-|J5e2hEC8o=0xU= zFpMyNKWUng8|SY-qTMw&f370^cGckgRo5PT`vSEJVtP$}kX_DBLp@UC0~|nh*8zNz z6~j`V)j)g;Uw^pe94L4x4WS|Z=OhU`uK!s?sLLXGi>x-8`-#(|wEj!K{w%*^q@_6O zl>ku(BMrCg>#Qg&59X=GmF^-A`Id+y?YE;JNPmgyC1=)2 zRsCZwnwF-L+qh2sxEkyjI0>W2Xx{8}v0~B+ZbyY6=wH_~r_>F5r`f=KIO)e&03ut17I)71Zxp>WClE@ZwhmfNB z9b7`Apo$*b+;s@~?|N8$GRpS-2*HJwJ+Ch0&*tEx>f=?4#lV8}uAC%3(O>$M%VK|c zJAR|L1VPvKMchmJCSK9kX;RxVQD~~Doq=mSE0@la*x1=#Z;xxDbhV7@z)8(0gd^ej zF{(I^ZPcjoqTR84@J!NQP>jOMW27Z*J1auofISKsu z(-DP~vPwY`S{8daMf{};%$4Q}er$TP~#&n*)2|;4`3vB;}QL;2!sm1x+;O3nlj|x!~FB+za}*sL+#R zh6F2|6{WjvzPb%v0laNDF$0n>r<8;*xIQ()d`-HUjQ?B37NKf&3$LVvTn?^SvzwO2 zKflHF?Fn9aJXvjbO_z(&29wz58C36)x?)8BT# z>qDC}O7s|$4a5`bc<|S##AxUM+bj-jG=`umw^V|-+pb}HlFIt1kfnBob(o>tJhZkJ zlATXG!GxK*o!%;=_VzU4Vge#w*xO{oZkRduO6AjAlc!GcIn+Uk*5~raEcOE_4S&q! z->=f>{|FD(f@d-@>?+qDqE%BMOL-iw!JPjyeB|3Tcy-(}*-#2spgO?%Ms9KUrt1>n zUx-wYd*{DMe!}R8JXu2Tgg^&^EqKRJV~;XrBFH=#z2?b*b5c~D006Wz9EF9+s3T<_ zxw&PfVz(t+%g?v8#Dj$&URpbAw~iobKYImsUE~-8i`}l#eKg_q-Rv!HFl38XSqs~w z9iDeM1f%Lbbk+SA#CR}rD8FGYnCdl`g?Z5xNIQrppmyLn7MzR_FgnMYusOTP#>rDw z=8smq$5G{^k70Oyv-zUv;WMmDvu5cs^Rs3iu+fvf!YdI$_6&sK5u9U-urDTpyNa{A zyCAPRa>4SiEVG`_uI9Fpm^(|q4FLNOw4o(BOM)1Ji#6Gbn?;Chlvsc|l<4!C6E#Y@ zkJ%jImvLC>_!MzMoI9b(DJoXXESrkwC_#uaL6j4$XNN-=v;86c)%7T7Vp=xhiGOP= zIe2(t`rmmFzbZ1Bx^S)0tv{-IZ1uiBLC7xh$ho#N*qn$h6U0|US}o=6f{~)Aza3n| zB?h}RLg&xfBmJp0?%YB)P=goCwO`9NjbUOLJx>t^=#A}*Qp4DTN9o_2E*{`bPC`Sx@WjV!=9^i=4Uv3e8}v=RVWb_T8T$D+d6C#* zGOw%OZsplK=4i7Mj^ovAF5K$=at&_^mt;pV{xFIgWhSieUR$pvGmj&Pc+jrTH$#@J zInD;wlEgDx ztBoQ9s<)j=m``-BtHKLr>|Z!q-I;D?HCOCwqF6f;ez0+1wx(EY^d=!`2r4{her|f28Fk{n!m`4Kg0r#g|g? z9@nQtPY9M`6z^|58LNQHnRSu`K>_6{O8|(hL%LBt4UFfMKXp?cM>pCyT~W!bo+^r{t>60$MySk)~a zRp6_1)SK&3Zk(j|R@F>Vq#-SyL6pvrtV@h>De`jSqdlB5j|r$3B-ME>ul`%wNm05N zG&l+6Hrw+j;5U@bNI!Ij@B6n#~FGN>jp@D&Wmv{Jg73!Lxh^9U*?pv|UFAm91SEdn8%W`YJ~> zWp#lJ>)a(J7qst`94|7$(i|Nlk~G1N=8*EQ`PXbRVQn5df{K-=xJj}TG5?Ca44JI% zKIZ$HJp(jUVSuU81Xt3uCS$fRPV{{B)^7M;9L+}XsX`d`r3LC9R=8Y_C8y1y@scrg zrwngSO&R`g)8jbku zk=Dq1`o)~K?$%V2drI?WfkxKG9R+Ilt&QgDZgvak<*$gLcaE^#Zq1`=( zrdSBigeXuggM;&LEe&S7%e=&|_eQ-NRyw8i=!ab5=vh zC#fO$yOu0&^>nrJQ-;#YVJejNR$SZD0~EpWry!i(n@Y7_D1}MIM4C7O+sgZx4#_N{ z(7i8^G@BLSe{edo{|Bcd8{_|*^1?{K!pO|@pNx(y>`aXRx6ko^BlE@D0Zi_<#th5S zo*boIB`6hWOTl13I~a|E05GUP0BDJr|DJ+`y(_s){N9yD(V#ZWp!VDC*8A4`QSR&U z)CNbpr_0rj=z&5|+ zf;D^MWatTckPjjGK2U(D<;2K8=%i(|d|~+7dT?f+`>1i{U4U3PY8yL3I57#x`r%T3 zr4i)=DG$E85U+_p8CtfnSu&zZoq@w0I{H= z9)*FL6<$olf7ef3?m#|&(_Q;wNtoSx;upoMJWt(zBnEJe_HXLRNwdFgSx7n#bPD?( zKk9#fL}J52yEea<;JaK8f5r;Piv_)Z^SJX4Z6i1YK0Y?_ja_`x^lTe*6oElQi)#FG znt9gA;1c+j{Lo%v4*hQ6{*HKc%>?TB#;8ay#ND;=V*_aURzXbb9_t$2`BEc79v+R3 zQhh-8j7|@O?4bSY76N_Q`s8(>$->3e7kk(a*`B_${doS>Cc87JtpH}T*p-OTTQ(3q`Y9O`Ay z2)1?80`_Q)fJY0i5IN_Ve%Owrb8`j2xk03hjhuLn4xZp z_O@CBhK1K{P=%tN^)lq1=VYXM?EzLgi)`xMfQN%it70JNEhIswzjHq@tNfX^RIgBa zPz7?lK1Ai~y;vP7r|F)m${L*&_$VPyAPUA_sF|hjTe~xBou5Guqpjkbc8>7z0%6=Z{Je!e*vTH5R-OdpZAE|Nf8p@%g`cts!t$Uz znd-%p?LL+Gc2JS3SZ1L$r>#o9wMTazV0Jz1&*zpz2~BRmPi+J95b8Tu*b;s#Vc23T z1GtjVma{bk)MopnRW(pPDWJZuR-Ge)$Gy3jLC}IfRneuq3b^x;)kQyQNFAk*9>juf zMfltLGt`vtR)IvB?q8VDQBnfgOf>g~26n`HZwMS7?=jDL6KB;8@B}wUaiXiJDl|TB zjIK21rnfcn5w~4CeOqyF@61^ThSS&08P{sxM^%N(AUa`E5ailou8}66E)6x74SF37 z+DsBQGMT3`TWe$FLzUHfQMeUgMK;xF2sG82M2PU}+q&Fjp)g$R{7Aao_pKNnFJ0}j z08<(O@mVoWCyIr2Ha6P{oOYFqHnSGPW76vD0D0_|fj~V2ftQt6X5v6p9rvkUU@zyB z`Q+HB{eu+PD7^2FrElIkc;!+rNRk@xkfm4|Bviz`wjyYm$mU`9oEfX3e^!_+McZ*h z7^+1EX*kInhUPP*qTKb64IZP883)g=icr%uY>4D>Qa~Eu%5Li%+O?w)HoBVeXoan2 zJkw}IUIG#)0?eNEb;#=__}j25U-uZ67v6uT_2GC#_PZ zf|M&EkaBsK3nm6amZC$jKEih$czO3TiT*w*Fds)x;ZM9C=dZrYDQfULG><81pZd9L z;{9{08l?lQkq^`9OrkbdVaRLt`-Cu=eJ_Uk(AP4RmbHm{QXX!$=xN&mJCqunOw5h& z_9gPWv6x0BI`UrF)?s-UNZ9$kWGiw6cf7kjC|Y6HSBGs5UDUR+-`%DPw918y(X^lu z*$KGskVJU*7>}|QPB{5&6c~=FFI`(2g2934edA?x_xw!vir~dHeKm4l3(ZnR19U5d zL$%|up-QV?Ba;twO7}Ssl|ym)G!G6VklC6goqmKkR12ZT1sJS}!;3sfwns@^Dgx4# zCx@pLvD;VXTf4XB@!IVsjPpHCT;6|@Z!VY{*HUs9{~BMp7gC(7^-Lw8J@%eI>b+TQ zUr~*={OxvqJuZ=fcmLObJXlk#Rh)BrS;o4(N_B>M*THr}U=P#4V(s0*c^ci)$~nRMse)&Cv$al*<%AlV$y3b+Ub(J zV2y}BQs8dCL}fOXq0g>kFee-IU5ZYw&v{6E>5RM2`ZwPoAdn2RC(#fL$dZ! zQeLbqPFpl=bdQOYbL*Q<$_B8V#i}maKP7ghD$Q*}5~oSIMojrJyBo=p-_?SMu$8U# zmq|oTea)cH&|$$sTBmZ@BLwi}>ACl7c% zx)53&HS@A(v{@6vf44@?Le#s!#?0|s=URy{H_^7 zMmJQi7>t{I2Y zNn*pQXZjOixU&~}?BFMgI_TM`-%O8{lKc9wea_ov_cUCX(*3pHq%E01OQOF4 zi9|iTNedDLfnW%Ebj|rKUT^;iIope)S~5(t%o*71HE$T6YdSJwRJDTco(Zj%t2c%_ z=#5l0A|VXpYGQYxr?+C9cxsy1?bL=Pz$amfO!iWJL3zF*BpAL>r6hwST85Bn<7>{= zfzH$Bp2Y1tZWGUk~0BKQiSigaA<+L-`~Uo z+TyD6hlafdz!Up@>Wc#Ug9-Jc%IFYbrniR$Esr3m!A_q{ICM=aURpMGVgWea^{UpS z;TCn{T{N6{-vJ&Y>6JNrlNnmj@7xg2 zEBk^f5^K-!!z_ck->QsorJ$G~**!$>-cGzqZdtS3=Fdg3k(8^XXaQ4n`#arDV#AHe zO%db$i!9lzI0pD?=KTP9zH_A$W&dfUtvPz%iFxl!`o%8UEAPKo$v$*Nj;|QW8!}@o z6dn)~Q11|i2sGkJtN`IdXEbGd5)`vsv{e7`=7xwn83$fP%7vP`cdPwW+Fk@_C`kpy zIIIdxtNa@7?Byh2s2MQaV87GN7S~19#NAl)&~R0^yU(?k>l;^b;Q@2|;eVgppm+!B z-{COx;Xc^oVjNl&g^RxANtC-Ox>z;X*RC53j5}9!OyK(lpF~>xPYpY?dNGhj{{XW^ z4F>Xv2iGnulj!b8_w-G(SrToWEoBWgxi;@W_x!fcivJ5oI`VkuKzoIrz z5mvFXF`GQOv{?tS{Lb`y@fvh&d;1)|)>QXNb#HH2nSv!Q2xXS!p92!j$7E!&3;O>p zS`Jpt>=v=l=0r&!O}ozOp@y^>=+D@`Gn2((rJ4MB1191kV7x%6^BQOUw}<|dM2n1E z37hkr7Qrtl$1tW4hfl%PZD$>e(^VZVyM9stuASYACS^r>K($pyZPL~n<`z5eXQ1mbFPOd6J4LyFxv46hkTM#<=p^WF8TWFkZ5+_Qd5ebSvcTGq&s-n183zic)j`)(ZfHP8acz*;iKh6CW)GO{U*mO^7`&rHp{1 zZ{%N_o0pQ`tupaC$;t&@{61|a3^6<(s>TetFBgbSoPHHmK1;!t_qpNK-4eQy!Ec14zPV78x{?3@r{c4oxqN7OdAn3s)m zxmUH$AWG!pEB~zYl%vyu5y#o+KdrW_TmZswWUFEB(YqkDelSv_zq6VzGmo`TQG3ul zyvs1!!%nvm=iO4RiFcLRS1mEh^KOv>}Q1)Oy2u#_}Bv7YVzAM z{5HHNj=8_cI22=WVq>W^keC8rfnMKXr5Mf8GwuS!nu?#>H&=cJKl|Fjz%zH2N`;ri z{PX;T<$}uY#`}3Yx8tbRK!lueKpc9J4nY@Cpnkvc{LE%fFd;*~Zgc)b!?bpdYVE!I zJ=Nc&QsQ6a#7;ii5>ss|V{GE6)8XvLS@H^SO5nDKP(PHX z)!%RvsTLP#qb_6Y-Co1IYfJONC!6*3e2$4O)@Glk<0DK9VvbOk3_*szcuNe&R}!Z@ zb+EKsg(T`JsB9r9QE!k!UBuOmkr=h3BVoHRvx^T{#=`8R;>JGb@66E!`^>O!gGl3C)-KN+!k_5~0iL8F@z@kEs7i>)0zYr+RO9%$lmQkDX=r32not!Xzs#xhL@8 zIF%C2Ju1a`ekRUC(o1}h^F=Ef-AyDg$L{_@>?jDbti$m}YpMdf(5p6zrJ3NJ2=A9I zVN#oy12XkT_cVqyqq{l518+HNza&U5TANpNZ;P&>8BY4S#>VI$QAzmudR@+^D8UVW z)xZE%raD+D?iNA&HQJT4xKY+}9iBKyhC;%I^On%M%C@7`y>cUL(T>Y#u0_MMq&*64 z+wjR_rr+3@E7rF-Aoa$={+!R+cIP}DX^>?b_{PIb@V8-JF(xix3SLO;-*v|a&%+G~ z_~TubMGB2NTnt=bwb$yDvphQ)&5D?HhHIpSRrH($ws^~8{y!}@J3xf8#@j^i>u;1_ z341G6)KR_}oU?F_d9Y2Ui3t7Q%uUEDH-!H4QwPUjK}NVXX>Xp+uBgk#-;N(s=b|R< zNHo-ZvWMz-R_gMe@-#=i1jP=vdF1vQ$w27^ft9&xCtBSm1s@z{`pM3jzj_NzrAGHQ zz=`qx^+GN{Lt*hUQkGMGpFvw}blruey}h_V?gl&eQ0$IkVAp4u5)`%^ii&e5{BdVg zza#Q64yMPuC?ks{{0hDS(y@>|lq;|&VKAkar_zJlByV`rLB*)J_!ft5M_uJkiW7Id z8*76WP*fH|x>?%2$4Y5}vAnGUncN5LEgdX*DIzzWP8()Ax^HJ$5zSaRN*sn)tLJHb zNSZGORvg1#EA;<9=t^rHAr`J^P^OJ!aEmJhDcp<#1GA1z6`4BH~Ao&XeA6 z{J};ADm-{r+dEM_9&{iRo_A*E6Ni00yEo%F=?@I`+`*`D{wcAJyXZp>YGm){nOB;{ zUCsb`9Lu31vuF3K-#e|W{8y0(D8e;V?Q0=mxIAm)a;2odN0tMir>I%QblH*6qSdS9 zb)M(x&OoKICu~ab8P4quc!tXd8kU)e(kk!|N*#;;Qp?Moj{v1mSl@;Ee;GA`Msn&i zDgBe{8ddV1+p;9tPk#nizHTo*?7d;;9jxJGYb&c8|uYP9hCBQp7@0n%In3667*!WlWy??#gF@tBt|S4BW$BLWX;w zRrIPpZ($?vZCGpcNU9}YB^^qM@(dc6rG~9D*|^1B88zCSafQsEF`D+>t@x|M5z{1b7o9OAk86+ zpgX?}=oeczlrX}F>M%c)SIH?|meu92T>N(>ur&gyT>WJVmzEOw60xQlxTit!w@-xT zK!%+EK0Rln|8LWC0ybub|7R@zzcUwCmbTraN9{OPbwfyA0~vG?14a|5zbCX`u~sf$ z)FEWp6pPD4EV}I0jnxfEwMNLhy?=2Z!kgG^Nu3l@zMPWk6P?nTZ0&R^PA}SSDcQNA zwHzOxW&T7kq*RP$6e?*fvb0QWy>&jMSc}l^%hXMJ zX#XraGJ2(e|JIgn7q@-(r6;aC#iVJ}B7B7Y$-LQWlSxL3$8p>o$ELi7r@xOQ1wmoO z8L_@By$I*A0)GZfsIHv)N$;?IIGY23Zp$;($x)r@{hK<~>dk^|+WUEznFnA7mi*!>huDZTz;h}zP`OMXmp5i zHMJ~q)mnBn(ljXr<~?9;RFBd@XN(FvY4XgHDoXz02sber`_YC<&whzw-EtJqI~FX1C>FVtxi^MjBes2wSi{U5u6tPcS} zr8v5WD305F!qHNAAAt{8Z{)etD+T+x@O!1cV-fM(-)L_erRmer5neV*7mkIBk zvGadIgHP-aO}Gjb&u*oeY|*4DCdGy~p> z9b|FoZK|j*>qR*-cS`8b%Wve{RD6|(UgpyxCn!5}Xlks>#ZLzr5tkWd80NK>|b#ZiuaR2VE0&liLmqK zWrT6Z0r5rLP>@2@0_8!Cumde1wnCu#^$jYx5jgt^wP_(*Fan9Ch5(i4tKwgS@& zdPr%%!1fu`8al;`1LHzrmYEXknK{iy(q|26i3IIN0n;P&V-@{I32{8bi3{C@wJ-v} zriufdv1YYD-g=nc-?okWwtt<$s=^f6kHjSuQl;YM5$giZnF*lL;v2fcUM7+{p}kiuJxt;97Q zIA{6SbYLOBCEqe^sH8t9?``aZ?KKUD%Q_P;=GyzJp8Qs%BVq8=dckxPz#;T2S&$?* z6Dvzqnn^jz32c`rgP-=Fu5kfg-Z<~&wnX}vV|*!A{zZQwu z=#GN*Nf*AMLSgGZ884uN`nI~rU!NnV^S*w9qM_12QA2dLw0*x=$c_`{)d<=@8eEq| z`h+Na51jfB95DWO4%nDD{!he1ImqZ(aIf}%6%Y8&=kr@4x78;gY~I*h(~I7XPd9B@ zrA_NeVy}aX$*eX<-E{FMM@QJJtGjAWd?_x#Z^cZHEN<=}4l0&RemJ1iZJNB%8?9(w z%e7>x?;=*l!{9#_SS?8ObS910AQ>5uQ)t;7GEjK#*BK0@Np+kJxIUzUfCwCra!*AZTv3P1E|reTe~#pKYn#^V6uKr?IhoQ zxid?()e$Hwt7gvIE2jI*`=k*ZdX3K2Yk~i*`p%Rz8+2h)K#@Fj^a`fb055~3NnwEA zFwpEVDlMaL39fBqF9;aq$A*bSIsB0j^MFxeK_c22!TeASE!Vm>5wKA&C8f{R2aHRA zmL8UfF^ZnJ)(OfK$TE}OF~3R7K41h#157)xk1#Um4BAb`5_i~r zzjc2G7xm^(78_D;H-T>PeqzalJ@lTK-rep~a)8b6x8fqp34fHn8(alhFc~p!K|29X zA~^0@5@7bkFm@z%d48XKLdsDLkD;uWnYaTb2wp;;7#^roC)YCe(*bwNUB|+r>1b$g zn}vyE5n&!S%BNQO@abp;9=3@3f;>^}AL+QdFN*k)j=TRM9W_7FVfp_h9si{&&+c=< zKhoiy!}uc|P`M>iZvf-}MLM`VydTRQx7Q(Nxtsq>I+h!c-th3-iT+DEMuxlLqIAY~ z=&0gKHU0xKKS@gjwgwi^0KosWB>b$m=>HFpf&FjD2#K>lY}e%C@6xQ}@$Dbq-v2mp z+wp7G)2r7yvx8%^I#=_#pNq4Ld0n;bTvMA4UyU+vSJ%c=<}Yprbl#gc*5WryGOgwp z_5+D*Gw$4~F9zOnj4nhs5y77HpRb>uD*=xJlTXKQ>`RkTAkUq6u`&0X%#JKBiiSR; zbn6Jt>i~w^5f0bjj}s|y90O-bX(rg z*&No%e7J<5$?c5;`lno-T|s)^Q>yjlNr?7t`6H9&<7zGqxn8$gorW+s|VAmBiJw|3VwKf4Yb{zfQU4ro7eu?*he*OYIh2ohon3MecP#tYo`!-TA3l0j( z?~Auc7rbgctk5BaJIvYv&Y#tm0f?JFI|R-yJdRz|%5&?*#d3fQMbULQ=^iEzy^MKB zfW62*>d-6iN4Vm~k{?+I1X7)eT&$zNOThG1(2V7mk_ z%KT9brV-f*bK-yf7d1Z%E{8umLL6^kaPt0Ld8QNb2-r#?&O1~GrZb}XGQO!9g);22 zG~{d=3N}t+t+Oy=(J|y~oPRgWgj;7b910nzupdq$U8kqXWjVVi6KQSkjb5yCqFyq7 zYKo6WgObP^@&M^!cSZ(diSj=WMSyg)!%?%bM1~}?M1>qF5qCz8!%@_vk|f0(1%7u4 zl0yn@t?P;JyH7gYEmAkpni?JVE6-V#m2^Z79%bFAFcR0xc{^kr4>T1Bmp^O?Aw`0aTc= zU^Bw&b8LfUrggkv)S_K07Z*;5YpxH^lvlTJ4AZ@EgzoJ>URmudmhI-yy{qa?*JEuQ z*=@rMLtkxfA2i+)?`KTey)Jh%{Gr_k4ies|&GpvU<;vLqtFh|tcVH<2m+E> zBreDfu-tlg|9@T8cUAXI zbr3apT6~-|1iclThrG%mqeQA0Fntzdvnd))!T;z+tX#deL z&-S$H9DU^#*%FS1n>6tgpl|_o2BFk<1jB-pW znmh(mR4*ocG|D53CPBJkf!}U$0<>>_B@ZN6!Sg}^Rsc7b-U0G*Y`vpySKX>&?f{HW z@X z@T)4%l>3$~-gq|{uTc>1_Vdx|XFt}eevIoX^E_Aqc;&Jkzg^%b&0x!usxp`g^vNI1 zxHWx8!j4v*rL3kHa6+3d=)kL2;FM9^-JWAxUQx1e)sPJi8#m04)n?VlgS-bhf;L(7 zDAGcmI5(4=Iy4QJ?$Y2Q&NvGs#v0c1n6DX^LyH%xlr{)A)gQso3Hs9-Wx+Q0AtJY% z?FL>3b{V=viy68_w`GVXTjphyOP}A31ueU&obwzI2)Au|1-%_p3d+oHpERvB%Nb|` zSrrYihSenkpy`c7mJ^W{A7l(^WX_)y+!XHSR~ocF@)>qK!zxhsSM5`-4jTj^2QsKP zw6iMBUPm5O_CBUGX`#*H$xXvo+ZDMbJmk-?Gq21rUAvH`V&CMXjLtaZ9<(l38eRjp z-?$Ak^u|AGj?(=VXHhO7(wKN~vvuREp8NTW5i#`u)40`WdUSQFt6{7Q*l`51ifWjj^#4wWmd)IlNu0uw#r0f{UrByRm^Uj$ux#C+MEp zI90S{h5ioXf02WNf0ctm!vEfm_qSB2PR9bA3Ux%eBvW)6-zx-2&_la)mjGma%Ht0UT4K(!JL?Hh=c*Iu`Gonp&e-terd&5P!J!=2m+Y4m#F1 zXO+|CmX|v`%_dRXt8@C8%`n$Cw`nvRO`6l{@nu_cKH}u5;(S~$8No#r<`u?QH@i=x ze{OX$tG9PVBz`#)y`i|A!_Q=C5^^soU@ib*`1|u`maT=`M0%O0_bl#BTyni0;c)5e z{c@#4DjwLZpr9-K#p|l;mB;CCz(C8GS?yN2c>RRW$fgt4nHr-ueRh8Yha691Fs8pv zip~obi*}z=;WQ`*p|X0I$;8-otE7?8wP!Eg)*msr)^Ml!Lw&tWL!~|H6_b#mTf5or zrv=%WFW1>@%6isELboFb{F0YDk3fH=qI{Y*rDE`{?Ukd z+2cbl`n}1C^|DC{+KUn+5Sz`a1{k^@e({7vAOGb|W4!0mw@E~ZsdtUVEh>UDq|H@h zya>pf2&vD#-?HJ;_p0|3^4lVv?1IGP?zsTOnxcasmeh0tZ$y4Q4AdwQ=$FdIMf!PU zT-cRi@P@l%C_ajQddzKu^E1JZhqQvMc?Q(cY5k-Z#IBJY34tL2d)!heV}<^daXr`N zUf(|aThFP^yqt!RXOZEUAes~)Dw!3ftrGB_;Jk?ZP`PL$ZLl9wgi9e|^v-XgzuXQr zC^rhY24EutFrI!G&j5@eDb7bqVkgvZ8gyRDf2aW&fVDkhs>3CAraEROkLMCQ!G6p8;wu;;O3z$#N1lHzi;D$a4Jp!v9MnY*#p`9;S&L{{5=UBy1|E|c+_ zsas){X?z!VS3ibM{FnLuSAU#9VZOgF!~Ah3gMZFvgos zPcwq2Yh7ME6+n{&e>aa-BB(~FrWA-QYR~8v0gj-D{2LYY_2aex4T_X1%wUk?ZywZ*U}hzi|v>~o6|I#aqEi)uVkGH z8s45n^cbM?ZFbG5Kuf?mEyHP5!4Z?=ir7(B)&q&Y0mg>?{G%DWYA=_lbpgM`HE}1j zz_cgg+bb7Lk#yhJ>8hX>|JjLqX2v@MR#&a(@m$c0)fG+g7^er?)_j^h~zCk#x z%ZQkufZeIAOlBB^A$J!|A1Lj`#8*AHk^4;m5wYnDX_ECG%;Jl3&6yE4CUt~!`x*E zd-`46>dYsfsXIq$&mr;SEB3aRyfOjR2VrB7AV)3UFj!cda+TijRz}`)`os3|hc!7j zulj0Wr8G4;c!Cz~GN3QaPvrt%t|gyMD?h=+@<%Clf65cV^rMJ#U4r?azg4r&9rcxx z$vAB4T6Uiy4Pex8BncR3G#)_`U$LE4LX*Hr1!BY@bX)?B2nEw80_APP_3gU}a-_sP zM~L28t?erUX20mxzy2R0|B3O`U7ajFEa0v{PG?IiZMZ%U6fD3C<`V!BL8Ls~5l*h0 zAc(e&yFDCt)$%a6g>{fm*uKwY@28?I^~KNuwd{iK-+K^5L*~P0-OI(!RwG)^WffyO z$!A?X%dch^L{RoVi=Zq>=FTlYmoOsJ;n4f_CA_I!ymFlPHX{s3j2Th1E%*T;ree40 z?>}JNzcF6!P?CX6WTE8saz(^C)e!QP9^|w&*zQy=SV#3kmwr?z10|0~f zU;Yw|H^3*Y9+q3;H_h*)7_Y^Da90-~8$ZSwSR=c`Q)Bu)pCSqrQ7xtC8yFry9GW;D zf8tF##HfT{@GN6;ID$StA4%A+$y;(mHf5ORnJWoVk8Zd}I1Ao50q6YI*_Qp--A%T= z^-i*1>3xah6~B+hqH}q%=6+&Umx0;bUoYOGyFWFXqAr8KGfG|snDAoTky^)RSTAkL z0^7lR6DMabP=+z~5OX5fn+LESow;Q?q{Wsfy9#f*yNFKIaCj7Bqe@k_`U4ODXKs5X zVX}~?@NqWDc;CsV2Cb>v{16A>F;eV+y~E!4 z+pipOtamDERJ;AyScykZtr_)Dwa#!Umm!o(Io>P(*gyGFQ41 zTV~vb8@a>Z55paPgJHRBg=+}=a`QmB=NpZ=_bQ>~Z3vOBV9Z#P3gUu=&F~_aEb_XWY4w(;2K5{{AtQ4MHQD=kvn&%i~dEoxzpL zaaeg;x&X}javnP`G2Nv_;YUj1ko%2Y!s8>`d27KIO~U);qUu>@dHKK+jKXd;T~5W5 zt`X*_HL|W<1%?ipDL2NaFVC{pKGVoP%+aR5!A++AWXR02V&S@it z?H{I3b_SX=4R;rB2xd>s$QwN>;2{uwny+z@zf4`C#H~b}kYlxV*f*CKC^~ZUyKQ8c zP`RCqNNwy@7*_=waZ$kHrl_27Vp8Ef^6S<&=LBbwI7V0KC-sD3G}4f1cO5>_0#klY!4i*8)H9!QdntY@D%8QG+%;`b66^7 zjn{uRA8hjp1%o%;rTwk!Ln4H|?Rxc-{TWotPUra}YDNdz=KU$Sp{;ZoK290G-RowX zU0C654I})MoIqJ~K32P(zAsU_Y^pu$Qcwn!L5%Ga#hwkPEAg^c-=odF@7rn^m~U?A z=dLPbNVAy6UQeRWL$!gHNp%lJ4YPQYv!m@mE?YXBi)R$lAX&J9+9xWbUcs&=*E4uQbYgV-{$~iPu!U}HYfL&*3wp*Uq_`^ zCyOWwjH0@vJ&{DMHneWY1oXlDhWiSFmya;!nz5BFL=KO|8eVZBl(Q&_P2-$%%$(I@L$Ps6~401g@(5 zLaFu(!HIhzIxb=JYPEB9S1*3ktAJN5UBc^{!r+jH)qC{!J2dip_$2|7ki%7I+9ki`Pc?38p9|P=OsAI!V}4u9E{Ei~+YHm8T|f--dm?Hf0EK$3mwXuq z@5_gMHgkDVw8VO0IF#8eah!79gG%5)qoM{H9q=+Gd+{KnFZ~v-$%$8lsGqSp5z)@6 zgqIVW3Q>2I)&maV`1H@tnetaCTxJVvntH!oWISW4iT!#AHAhLziDM7R%ya#y&V8ET zrY(TM!dC|h$YL^j$1@9!!lRgWVNQ+^GZ)D$)pKV*Es~3F><`-|1~sw79T?5oIrhM0 zNosOxN|jlDJ2(=c_(ehaIRy=as7+(MmeTn;nS8Yz+Fd@u{WeE#V(ry--eX0w8u8FC zaAX&R<4TIm;?b$5iu&)kcZMnjxl}VZ&9h8G*Ds!39z3Fe)wC#^f}HB31`*|w*6SY{ zo9Sn0t}9fii@UMMseZ|0@_H+x)M}CJh%}i~4dIUMxw!-1N~D=@E2bRH%m&>ak`Jd8SVJRH9jC$6+^L=FDwVS_FQr(R z;;goP45SNmAH%;P5JU7a22ur#aaN+ckWT23v){aWF*Ml(P=I_Us2t%^l(r^(o6pF25!N`oiWF8uwAJC?Wx z8>oxyelJN_C_EdrC$&vRm%|W!yM;psskvWODtEd^Np8UI`6J1jKUMsAyOri6!$s&) z^a+W-l2fl$xI}gz{yEOKMOo@-hF1AXQ@F9aPghQuh;eP7i1Eb?bvXAF?bNCw!B}xm z9#>z%^?l_82!00B47-t(cBLFiMYrIMyNjSM_7<(1kb~!deDXz5i_Eow8KLR!9@VP+ z8`|QN0^uM6) z|FpvV3pMI%!mWrPijJ0WFQ5@GP(#rUfKpjXP-5vksiecT1I!J>$ zgpjkFNTrKH1?Pg(uqWIr&pg{-e#GFnn#R!##%(%S2N`8p8zA{Eb?;Dl`qPW@lDKk8 za#+!4wA|V;)FmLP@kzuYSlf*BbQRCm8DX+mgx*iX0_x&v5B@ruZtiBT?p`=`he$+} OSCE&8jZIEXp6DN|grdm+ literal 0 HcmV?d00001 diff --git a/doc/main.tex b/doc/main.tex new file mode 100644 index 0000000..da8fd0c --- /dev/null +++ b/doc/main.tex @@ -0,0 +1,142 @@ +\documentclass[a4paper,12pt]{article} +\usepackage[utf8]{inputenc} +\usepackage{amsmath} +\usepackage{hyperref} + +\title{Documentation of 1-Bit Full Adder in Verilog} +\author{Class 1i} +\date{} + +\begin{document} + +\maketitle + +\tableofcontents +\newpage + +\section{Introduction} +This document provides a detailed description of the 1-bit full adder module implemented in Verilog. A full adder is a digital circuit that performs the addition of binary numbers. In this design, the module takes three inputs: two single-bit binary values, \texttt{a} and \texttt{b}, and a carry-in bit, \texttt{carry\_in}. It produces two outputs: the sum (\texttt{sum}) and a carry-out bit (\texttt{carry\_out}). + +\section{Module Description} +The 1-bit full adder module is defined in Verilog using the following interface: + +\begin{verbatim} +module full_adder ( + input wire a, // Input A + input wire b, // Input B + input wire carry_in, // Carry-in + output wire sum, // Sum output + output wire carry_out // Carry-out +); +\end{verbatim} + +\subsection{Inputs and Outputs} +\begin{itemize} + \item \textbf{Input a}: The first binary input (single bit). + \item \textbf{Input b}: The second binary input (single bit). + \item \textbf{Input carry\_in}: The carry-in bit, representing any carry from the previous addition stage. + \item \textbf{Output sum}: The sum result of inputs \texttt{a}, \texttt{b}, and \texttt{carry\_in}. + \item \textbf{Output carry\_out}: The carry-out result, which is passed to the next stage if multiple bits are added. +\end{itemize} + +\section{Operation} +The 1-bit full adder performs binary addition using the logic operations XOR, AND, and OR. The outputs are calculated as follows: + +\begin{align*} +\text{sum} &= a \oplus b \oplus \text{carry\_in} \\ +\text{carry\_out} &= (a \land b) \lor (\text{carry\_in} \land (a \oplus b)) +\end{align*} + +\subsection{Truth Table} +The truth table for the 1-bit full adder is shown below: + +\begin{center} +\begin{tabular}{|c|c|c|c|c|} +\hline +\textbf{a} & \textbf{b} & \textbf{carry\_in} & \textbf{sum} & \textbf{carry\_out} \\ +\hline +0 & 0 & 0 & 0 & 0 \\ +0 & 0 & 1 & 1 & 0 \\ +0 & 1 & 0 & 1 & 0 \\ +0 & 1 & 1 & 0 & 1 \\ +1 & 0 & 0 & 1 & 0 \\ +1 & 0 & 1 & 0 & 1 \\ +1 & 1 & 0 & 0 & 1 \\ +1 & 1 & 1 & 1 & 1 \\ +\hline +\end{tabular} +\end{center} + +\section{Implementation} +The Verilog implementation of the full adder uses logical operations to compute the sum and carry-out as shown below: + +\begin{verbatim} +module full_adder ( + input wire a, + input wire b, + input wire carry_in, + output wire sum, + output wire carry_out +); + assign sum = a ^ b ^ carry_in; + assign carry_out = (a & b) | (carry_in & (a ^ b)); +endmodule +\end{verbatim} + +\section{Parameterization} +To make this module more versatile, we can parameterize it to allow the user to define different bit widths. Here is an example of a parameterized full adder that allows for a multi-bit input: + +\begin{verbatim} +module full_adder #( + parameter WIDTH = 1 +) ( + input wire [WIDTH-1:0] a, + input wire [WIDTH-1:0] b, + input wire carry_in, + output wire [WIDTH-1:0] sum, + output wire carry_out +); + assign {carry_out, sum} = a + b + carry_in; +endmodule +\end{verbatim} + +In this parameterized version, \texttt{WIDTH} is a parameter that specifies the number of bits. The module can handle inputs of any width by changing the \texttt{WIDTH} value when instantiating the module. + +\section{Compilation and Synthesis Instructions} +To compile and synthesize the Verilog code for the iCEBreaker FPGA, follow these steps: + +\begin{enumerate} + \item **Save the Verilog file**: Save the Verilog code as \texttt{sum.v} and the pin configuration as \texttt{sum.pcf}. + \item **Synthesize with Yosys**: + \begin{verbatim} + yosys -p "synth_ice40 -top full_adder -json sum.json" sum.v + \end{verbatim} + This command synthesizes the Verilog code for the iCE40 FPGA architecture and outputs a JSON netlist. + + \item **Place and route with nextpnr**: + \begin{verbatim} + nextpnr-ice40 --up5k --package sg48 --pcf sum.pcf --json sum.json --asc sum.asc + \end{verbatim} + This command places and routes the design for the UP5K model of the iCE40 FPGA. + + \item **Generate a binary file with icepack**: + \begin{verbatim} + icepack sum.asc sum.bin + \end{verbatim} + This converts the ASCII file (\texttt{.asc}) to a binary file (\texttt{.bin}) for programming the FPGA. + + \item **Program the FPGA with iceprog**: + \begin{verbatim} + iceprog sum.bin + \end{verbatim} + This command uploads the binary file to the iCEBreaker FPGA board. +\end{enumerate} + +\section{Testing} +To verify the correctness of the full adder, the module can be tested with all combinations of inputs (as shown in the truth table) to ensure that the sum and carry-out values are produced correctly. A testbench in Verilog can be created to apply these inputs and observe the outputs. + +\section{Conclusion} +This document provides a detailed overview of the 1-bit full adder module implemented in Verilog, including its interface, operation, and logic. This module is fundamental in digital systems, especially for implementing multi-bit adders and arithmetic operations in larger circuits. + +\end{document} + diff --git a/sum.pcf b/sum.pcf new file mode 100644 index 0000000..2f5476c --- /dev/null +++ b/sum.pcf @@ -0,0 +1,10 @@ +set_io a 12 # Przycisk A (Button 3) +set_io b 11 # Przycisk B (Button 2) +set_io carry_in 10 # Przycisk Carry-in (Button 1) + +set_io sum 39 # Wyjście suma na diodzie L1 +set_io carry_out 40 # Wyjście carry-out na diodzie L2 +set_io l3 41 # Dioda L3 dla wejścia B +set_io l4 42 # Dioda L4 dla carry_in +set_io l5 37 # Dioda L5 dla wejścia A + diff --git a/sum.v b/sum.v new file mode 100644 index 0000000..af9dbbf --- /dev/null +++ b/sum.v @@ -0,0 +1,19 @@ +module full_adder ( + input wire a, // Wejście A (przycisk) + input wire b, // Wejście B (przycisk) + input wire carry_in, // Wejście przeniesienia (przycisk) + output wire sum, // Wyjście suma (dioda) + output wire carry_out, // Wyjście przeniesienia (dioda) + output wire l3, // L3 dla B + output wire l4, // L4 dla carry_in + output wire l5 // L5 dla A +); + + assign sum = a ^ b ^ carry_in; // Obliczenie sumy + assign carry_out = (a & b) | (carry_in & (a ^ b)); // Obliczenie przeniesienia + assign l5 = a; // L5 pokazuje stan A + assign l3 = b; // L3 pokazuje stan B + assign l4 = carry_in; // L4 pokazuje stan carry_in + +endmodule +